scispace - formally typeset
Patent

Phase shifting mask topography effect correction based on near-field image properties

Armen Kroyan
TLDR
In this article, a phase shifting mask (PSM) layout can be corrected using a near-field image, which can provide accurate and quick correction for image intensity imbalance between shifters of different phases.
Abstract
Image intensity imbalance created by a phase shifting mask (PSM) layout can be corrected using a near-field image. Because an aerial image is not used, various parameters associated with the exposure conditions and stepper need not be considered, thereby significantly simplifying the computations to determine the appropriate correction. Of importance, using the near-field image can provide substantially the same correction generated using the aerial image. Thus, using the near-field image can provide an accurate and quick correction for image intensity imbalance between shifters of different phases. After correcting for the image intensity imbalance, additional proximity correction techniques can be applied to the layout to correct for other effects.

read more

Citations
More filters
Patent

Systems, masks, and methods for photolithography

TL;DR: In this article, contours are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern, using mask functions.
Patent

Method for time-evolving rectilinear contours representing photo masks

TL;DR: In this paper, level-set functions are used to optimize the contours of a defined photomask, when used in photolithographic process, to print a wafer pattern faithful to the target pattern.
Patent

System, masks, and methods for photomasks optimized with approximate and accurate merit functions

TL;DR: In this article, contours defined by mask functions are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern.
Patent

System for simplifying layout processing

Youping Zhang, +1 more
TL;DR: In this article, a system and method for integrated circuit design are disclosed to enhance manufacturability of circuit layouts by applying layout processing to handle imperfections such as jogs in integrated circuit designs.
Patent

Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern

TL;DR: In this article, contours are optimized such that defined photomask, when used in photolithographic process, prints wafer pattern faithful to target pattern, and simplified patterns or blocks may be simplified for mask manufacturing.
References
More filters
Patent

Optical proximity correction method and apparatus

TL;DR: In this article, a run set is generated from a correction table that has the plurality of correction values used to correct a plurality of features of the layout design that have a selected space dimension.

Fast optical and process proximity correction algorithms for integrated circuit manufacturing

TL;DR: The key contributions to the OPC field made in this thesis work include formulation of OPC as a feedback control problem using an iterative solution, and use of fast aerial image simulation for OPC, which truly enables full chip model-based OPC.
Proceedings ArticleDOI

Fast sparse aerial-image calculation for OPC

TL;DR: In this article, a fast sparse aerial image simulation and its use in optical proximity correction (OPC) is discussed. But the primary result is a new lookup table formulation of aerial image calculation for a partially coherent optical system, which extends the fast lookup technique to arbitrary polygonal mask geometry.
Patent

Correction method and correction apparatus of mask pattern

TL;DR: In this paper, the mask pattern of a photomask to be used in a photolithographic step is deformed so that a transfer image near a desired design pattern is obtained, including an evaluation point arrangement step for arranging a plurality of evaluation points along an outer periphery of the desired pattern.
Patent

Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout

TL;DR: In this article, a dissection length parameter is derived based on a profile of amplitudes output by a proximity effects model along a transect, and then it is determined how to correct at least a portion of the first edge for proximity effects based on an analysis at the evaluation point.
Related Papers (5)