scispace - formally typeset
Search or ask a question

Showing papers on "Clock synchronization published in 2022"


Journal ArticleDOI
TL;DR: In this article , the authors leverage existing Ethernet TSN and wireless technologies implementations (Wi-Fi and w-SHARP) and present two hardware architectures specifically engineered to enable the clock synchronization distribution among the network domains.
Abstract: Industrial control systems present numerous challenges from the communication systems perspective: clock synchronization, deterministic behavior, low latency, high reliability, flexibility, and scalability. These challenges are mostly solved with standard technologies over Ethernet, e.g., Time-Sensitive Networking (TSN). As a research trend, it is expected that TSN will converge with wireless, leading to the Wireless TSN paradigm. Also, Wireless TSN is expected to be integrated with Ethernet TSN to create large-scale wired-wireless (Hybrid) TSN networks. The first step towards Hybrid TSN is the distribution of the clock reference from the wired to the wireless domain. In this paper, we leverage existing Ethernet TSN and wireless technologies implementations (Wi-Fi and w-SHARP) and we present two hardware architectures specifically engineered to enable the clock synchronization distribution among the network domains. The hardware architectures have been implemented over a System-on-Chip (SoC) Field Programmable Gate Array (FPGA) platform. We demonstrate through several experiments that the implementation is able to fulfill the synchronization performance required by TSN.

13 citations


Journal ArticleDOI
TL;DR: An Elastic Timer Protocol for home automation system networks that performs better in terms of consumption of energy and time of convergence than other clock synchronization protocols and improves the elasticity and efficiency of the network, resulting in a faster network convergence time.
Abstract: Clock synchronization techniques are in highly demand for smart home applications with the approach of the Internet of Things (IoT). The developing innovation of smart home applications built on the Internet of Things is generally constrained and scattered. Synchronization is the basic characteristic of the emerging field of IoT in smart home applications which is recommended for improving the acceptance and its use. For the clock synchronization between systems, Network Time Protocol (NTP) is used. But due to inconsistent routing and limited computing resources there can be the dominant source of error that limits time transfer uncertainty. This paper proposes an Elastic Timer Protocol for home automation system networks that performs better in terms of consumption of energy and time of convergence than other clock synchronization protocols. The proposed protocol improves the elasticity and efficiency of the network, resulting in a faster network convergence time. Furthermore, simulation results revealed that the proposed work has improved performance metrics and proved superior performance characteristics when compared to standard synchronization protocols.

10 citations


Journal ArticleDOI
TL;DR: In this article , a rapid-flooding multibroadcast time synchronization with real-time delay compensation (RDC-RMTS) is proposed to overcome the by-hop error accumulation problem in WSNs.
Abstract: One-way-broadcast-based flooding time synchronization algorithms are commonly used in wireless-sensor networks (WSNs). However, the packet delay and clock drift pose a challenge to accuracy, as they entail serious by-hop error accumulation problems in the WSNs. To overcome this, a rapid-flooding multibroadcast time synchronization with real-time delay compensation (RDC-RMTS) is proposed in this article. By using a rapid-flooding protocol, flooding latency of the referenced time information is significantly reduced in the RDC-RMTS. In addition, a new joint clock skew-offset maximum-likelihood estimation (MLE) is developed to obtain the accurate clock parameter estimations and the real-time packet delay estimation. Moreover, an innovative implementation of the RDC-RMTS is designed with an adaptive clock offset estimation. The experimental results indicate that the RDC-RMTS can easily reduce the variable delay and significantly slow the growth of by-hop error accumulation. Thus, the proposed RDC-RMTS can achieve accurate time synchronization in large-scale complex WSNs.

8 citations


Journal ArticleDOI
TL;DR: In this paper , a fiber-optic two-way quantum clock synchronization experiment with the distance reaching 50 km was reported, achieving a synchronization stability of 54.6 fs at 57300 s and an accuracy of 1.3 ps±36.6 ps.
Abstract: Fiber-optic Quantum clock synchronization has been proposed and experimentally demonstrated for its potential enhancements in precision and better guarantee of security. To further prove its advantage in practical applications, it is necessary to test its synchronization performance between clocks apart for at least intracity optical fiber distance. In this paper, we report a fiber-optic two-way quantum clock synchronization experiment with the distance reaching 50 km. With the common reference clock, a synchronization stability of 54.6 fs at 57300 s and an accuracy of 1.3 ps±36.6 ps have been achieved. With independent reference clocks, comparable performance has also been achieved combined with the microwave frequency transfer technology, which shows a stability of 89.5 fs at 57300 s. These results nicely showcase the feasibility of the two-way quantum clock synchronization to provide femtosecond-scale synchronization precision over intracity optical fiber links.

6 citations


Journal ArticleDOI
TL;DR: In this paper , a packet-coupled oscillators (PkCOs) protocol is proposed to synchronize drifting clocks in a wireless blast wave monitoring network, and the corresponding superframe structure is developed to allow the hybrid transmission of the packet and the blast wave data.
Abstract: Blast waves with a large amount of energy, from the use of explosive weapons, is a major cause of traumatic brain injury in armed and security forces. The monitoring of blast waves is required for defence and civil applications. The utilization of wireless sensing technology to monitor blast waves has shown great advantages, such as easy deployment and flexibility. However, due to drifting embedded clock frequency, the establishment of a common timescale among distributed blast monitoring sensors has been a challenge, which may lead to a network failing to estimate the precise acoustic source location. This work adopts a packet-coupled oscillators (PkCOs) protocol to synchronize drifting clocks in a wireless blast wave monitoring network. In order to address packet collisions caused by the concurrent transmission, an anti-phase synchronization solution is utilized to maintain clock synchronization, and the corresponding superframe structure is developed to allow the hybrid transmission of the Sync packet and the blast wave monitoring data. As a network scales up and the hop distance grows, the packet exchange lag increases during a superframe. This, along with the drifting clock frequency, leads to the degradation of synchronization performance while the clock frequency is usually assumed to be zero and nondrifting. Thus, a compensation strategy is proposed to eliminate the joint impacts and to improve synchronization precision. The theoretical performance analysis of the PkCOs algorithm in the network is presented along with verification by simulation means. Finally, the performance of the PkCOs synchronization protocol is evaluated on an IEEE 802.15.4 hardware testbed. The experimental results show that the PkCOs algorithm provides an alternative clock synchronization solution for blast wave monitoring networks.

6 citations


Journal ArticleDOI
TL;DR: In this article , the impact of reference clock phase noise on system performance was studied, focusing on the tolerance to the clock phase misalignment that affects the system scalability and reliability.
Abstract: Optical switching has attracted significant attention in recent research on data center networks (DCNs) as it is a promising viable route for the further scaling of hyper scale data centers, so that DCNs can keep pace with the rapid growth of machine-to-machine traffic. It has been shown that optical clock synchronization enables sub-nanosecond clock and data recovery time and is crucial to high performance optically switched DCN. Moreover, the interconnect data rate is expected to increase from the current 100 Gb/s per fiber to scale to 800 Gb/s and beyond, requiring high baud rate signaling at >50 GBd. Thus, future optically switched DCN should support >50 GBd data transmission with optical clock synchronization. Here, we demonstrate the clock-synchronized transmission of 128-byte optical packets at 51.2 GBd and study the impact of reference clock phase noise on system performance, focusing on the tolerance to the clock phase misalignment that affects the system scalability and reliability. By comparing the tolerable sampling clock phase offsets using different reference clocks, we show that a clock phase offset window of about 8 ps could be achieved with a <0.2ps source clock. Furthermore, we model and numerically study the de-correlation of clock phase noise. This allows the total jitter to be estimated, and thereby, the estimation of the transmission performance for future generations of high baud rate, clock synchronized DC interconnects.

6 citations


Proceedings ArticleDOI
04 Mar 2022
TL;DR: Here, the honest parties of an n-node system are required to compute output clocks of small skew (i.e., phase offset) despite local clock rates varying between 1 and ϑ > 1, end-to-end communication delays varying between d - u and d, and the interference from malicious parties.
Abstract: Cryptographic signatures can be used to increase the resilience of distributed systems against adversarial attacks, by increasing the number of faulty parties that can be tolerated. While this is well-studied for consensus, it has been underexplored in the context of fault-tolerant clock synchronization, even in fully connected systems. Here, the honest parties of an n-node system are required to compute output clocks of small skew (i.e., phase offset) despite local clock rates varying between 1 and ϑ > 1, end-to-end communication delays varying between d - u and d, and the interference from malicious parties. Known algorithms with (trivially optimal) resilience of [n/2] - 1 improve over the tight bound of [n/3] - 1 holding without signatures for any skew bound [6, 18], but incur skew d [1] or Ω(n(u + (ϑ - 1)d)) [14]. Since typically d >> u and ϑ - 1 « 1, this is far from the lower bound of u + (ϑ - 1)d that applies even in the fault-free case [3].

5 citations


Journal ArticleDOI
TL;DR: A distributed time synchronization strategy to guarantee the update actions of individual DGs to be triggered at the same discrete instants and the selection of event triggering threshold is formulated for the tradeoff between the trigger frequency and convergence accuracy.

5 citations


Journal ArticleDOI
TL;DR: In this paper , the authors review the existing wireless clock-synchronization approaches and their attainable performances, and discuss their feasibility to enable wireless Time-Sensitive Networking (TSN).
Abstract: Industrial control systems in the era of Industry 4.0 present significant challenges from a communication perspective, that is, low latency, ultrahigh reliability, and accurate synchronization. Time-sensitive networking (TSN) has emerged as the main solver of these challenges. As a research trend, TSN and wireless technologies are expected to converge in the wireless TSN paradigm. This convergence starts with the adoption of accurate clock synchronization over wireless systems. In this article, we review the existing wireless clock-synchronization approaches and their attainable performances, and we discuss their feasibility to enable wireless TSN. We conclude that the existing clock-synchronization techniques are enough to enable wireless TSN, although significant implementation efforts are required to incorporate accurate clock synchronization over wireless systems.

5 citations


Journal ArticleDOI
TL;DR: In this article , a field test of two-way quantum synchronization between a H-maser and a Rb clock linked by a 7 km-long deployed fiber is implemented by using time-energy entangled photon-pair sources.
Abstract: The two-way quantum clock synchronization has been shown to provide femtosecond-level synchronization capability and security against symmetric delay attacks, thus becoming a prospective method to compare and synchronize distant clocks with enhanced precision and safety. In this letter, a field test of two-way quantum synchronization between a H-maser and a Rb clock linked by a 7 km-long deployed fiber is implemented by using time-energy entangled photon-pair sources. Limited by the intrinsic frequency stability of the Rb clock, the achieved time stability at 30 s is measured as 32 ps. By applying a fiber-optic microwave frequency transfer technology to build frequency syntonization between the separated clocks, the limit set by the intrinsic frequency stability of the Rb clock is overcome. A significantly improved time stability of 1.9 ps at 30 s is achieved, which is mainly restrained by the low number of acquired photon pairs due to the low sampling rate of the utilized coincidence measurement system. Such implementation demonstrates the high practicability of the two-way quantum clock synchronization method for promoting field applications.

4 citations


Journal ArticleDOI
TL;DR: Wang et al. as mentioned in this paper proposed a virtual clock synchronization method for the continuous-variable quantum key distribution (CV QKD) protocol without sending a real local oscillator (LO), which provides a precise and automatic clock synchronization without a special clock board.
Abstract: It is well known that accurate clock synchronization is the backbone of quantum information processing tasks. Continuous-variable quantum key distribution (CV QKD), when proposed, benefited from its natural synchronization signals, i.e., local oscillator (LO), which provides a precise and automatic clock synchronization without a special clock board. The application of LO, however, incurs security loopholes, exposing the system to the risk of being attacked. We resolve this unsafe synchronization by proposing a virtual clock synchronization method for the CV QKD protocol without sending a real LO. The proposed method, which is based on the interpolation algorithm, extracts the clock information from the measured quadrature of the quantum signal rather than the classical LO. By formulating the measured quadrature as the synchronization signal, Bob recovers the clock information without both security loopholes and a specific clock board. Numerical results test the robustness of our method and show its potential in a real CV QKD implementation.

Journal ArticleDOI
TL;DR: In this article , a software-defined radio-based approach to implement PTP is introduced and validated for the IEEE 802.11 WLAN, which utilizes the timing synchronization function clock, an existing clock in the IEEE802.11 standard.
Abstract: Precision time protocol (PTP), a state-of-the-art clock synchronization protocol primarily designed for wired networks, has recently gained attention in the wireless community, due to the increased use of the IEEE 802.11 wireless local area networks (WLAN) in real-time distributed systems. However, all the existing WLAN-based PTP designs either incorporate software timestamping (TS) delivering poor clock synchronization accuracy, or hardware (HW) TS providing better synchronization accuracy at the cost of a significant amount of HW overhead. Moreover, the performance of the existing PTP solutions is mostly evaluated in single-hop wireless networks, while the performance across wired and wireless networks is taken for granted. In this article, a new software-defined-radio-based approach to implement PTP is introduced and validated for the IEEE 802.11 WLAN. Instead of using a dedicated HW clock, the solution utilizes the timing synchronization function clock, an existing clock in the IEEE802.11 standard for synchronization between access point and WLAN stations. The performance of the proposed solution is first investigated within a single-hop WLAN and then across wired–wireless networks. Experimental results unveil that 90% of the absolute clock synchronization error falls within 1.4 $\mu \mathrm{s}$ .

Journal ArticleDOI
Shuyu Lyu, Xinfa Dai, Zhong Ma, Yi Gao, Zhekun Hu 
TL;DR: In this article , the authors present the concept of software defined control architecture and describe the time consistency requirements under the paradigm shift of ICS architecture by analyzing the physical clock and virtual clock mechanism models.
Abstract: Adopt software-definition technology to decouple the functional components of the industrial control system (ICS) in a service-oriented and distributed form is an important way for the industrial Internet of things to integrate information technology, communication technology, and operation technology. Therefore, this paper presents the concept of software-defined control architecture and describes the time consistency requirements under the paradigm shift of ICS architecture. By analyzing the physical clock and virtual clock mechanism models, the global clock synchronization space is logically divided into the physical and virtual clock synchronization domains, and a formal description of the global clock synchronization space is proposed. According to the fundamental analysis of the clock state model, the physical clock linear filtering synchronization model is derived, and a distributed observation fusion filtering model is constructed by considering the two observation modes of the virtual clock to realize the time synchronization of the global clock space by way of timestamp layer-by-layer transfer and fusion estimation. Finally, the simulation results show that the proposed model can significantly improve the accuracy and stability of clock synchronization.

Journal ArticleDOI
TL;DR: The results demonstrate that users can achieve ambiguity-fixed solutions and obtain high-precision positioning coordinates with the authors' decoupled clock products and propose an approach by rounding directly with “fixing solution” and “partial ambiguity hold” strategies, to increase the fixing rate and avoid the biased solution resulting from ambiguity datum loss.

Journal ArticleDOI
TL;DR: This short note aims to introduce a time synchronization protocol scheme for Alice and Bob, which is resistant against arbitrary time delay attacks, both symmetric and asymmetric ones.
Abstract: The information theoretically secure Kirchhoff-law-Johnson-noise (KLJN) key exchange scheme, similarly to quantum key distribution (QKD), is also potentially vulnerable against clock attacks, where Eve takes over the control of clock synchronization in the channel. This short note aims to introduce a time synchronization protocol scheme for Alice and Bob, which is resistant against arbitrary time delay attacks, both symmetric and asymmetric ones. We propose and explore various ways of clock synchronization for the KLJN system and propose an ultimate protocol that preserves time and hardware integrity under arbitrary attacks.

Journal ArticleDOI
TL;DR: In this article , a packet-coupled synchronisation scheme for low-accuracy and large-drifting RC oscillator clocks with around 4 × 10 parts per million (ppm) was proposed.
Abstract: Time-sensitive wireless applications have strict requirements on real-time data transmission and control operation. Even though time synchronisation has been extensively studied for providing a common timing among distributed wireless nodes, there still exists a lack of research for low-accuracy and large-drifting clocks, such as internal Resistor-Capacitor (RC) oscillator clocks with around 4 × 10 5 parts per million (ppm), which are widely used in wearable sensor systems. This paper proposes a Proportional Packet-Coupled Oscillators (P-PkCOs) protocol for synchronising poor-performing internal RC oscillator clocks with high disturbances in the single-cluster wireless network. The behaviour of such a drifting clock is described as a non-identical and time-varying model. To achieve time synchronisation on low-accuracy internal RC oscillator clocks, a packet-coupled synchronisation scheme is proposed for adjusting drifting clocks via the proportional control-based correction scheme. The RC oscillator frequency in an embedded system cannot be corrected, and this work utilises the clock threshold adjustment as a substitute for frequency correction. The stability region of controller parameters is given to guarantee that the clock threshold approaches a value, which is jointly determined by the nominal threshold and the corresponding clock frequency. We also propose a linear matrix inequality condition to prove that the P-PkCOs performance is robust against the large clock disturbances. We demonstrate the implementation of P-PkCOs. The experimental results show that P-PkCOs can achieve and maintain robust time synchronisation on the internal RC oscillator clocks.

Journal ArticleDOI
TL;DR: In this article , the feasibility of optimization based on Kalman filtering is analyzed from the perspective of dynamic cybernetics, and the system modeling process, including the clock model and the state equation and observation equation of Kalman filter, is described.
Abstract: Wireless sensor networks are a significant subfield of distributed systems, and a large number of their applications require time synchronization. Sensor nodes are powered by batteries. In order to reduce the interference of the peripheral environment on the node as much as possible, the size of the node is relatively small and the circuit design, storage, and calculation are relatively simple. Therefore, wireless sensor network nodes have limited energy, low computing power, and low communication capacity. In addition, the clock of the wireless sensor network node is usually realized through the local crystal oscillator and CPU interrupt mechanism, which is obviously affected by manufacturing errors, temperature changes, and CPU processing delays. Therefore, this research reviews and analyzes the development and current situation of time synchronization and puts forward the challenges faced by time synchronization-related research institutes. From the perspective of dynamic cybernetics, the feasibility of optimization based on Kalman filtering is analyzed. Finally, the article describes the system modeling process, including the clock model and the state equation and observation equation of Kalman filter and uses Matlab platform to carry out experimental simulation and analysis on the precise time synchronization protocol based on Kalman filter in the wireless sensor network environment.


Journal ArticleDOI
TL;DR: The test results show that this method can realize the time synchronization between the nodes of the state collector, and the accuracy is better than 1 nanosecond, which can provide high-precision time synchronization function for the multi node signal acquisition system of high-energy physics experiment.
Abstract: The state acquisition system of high energy physics experimental device has very high requirements for the clock synchronization accuracy of each node. The White Rabbit (WR) distributed synchronous timing technology can realize multi node sub nanosecond clock distribution within several kilometers, and meet the requirements of clock synchronization accuracy of the system. This paper presents an implementation of WR timing system with ZYNQ 7045 as the core in the state acquisition system. This paper introduces the hardware design and implementation scheme of collector node, the implementation scheme of WR node, and the statistical data of WR-PTP time synchronization experiment results. The test results show that this method can realize the time synchronization between the nodes of the state collector, and the accuracy is better than 1 nanosecond. It can provide high-precision time synchronization function for the multi node signal acquisition system of high-energy physics experiment.

Journal ArticleDOI
01 Jul 2022
TL;DR: In this paper , the accuracy of software-based and hardware-supported time synchronization algorithm over the controller area network (CAN) protocol using a COTS microcontroller is investigated, and identified jitter and delay sources as well as the achieved time accuracy.
Abstract: In distributed real-time communication systems, common knowledge of the global time is crucial. It prevents message violations on the bus and allows independent components to collaborate within a real-time system on a timely basis. Systems with hard real-time requirements need to have high precision and accuracy of time. This is achieved by hardware-supported frame time-stamping mechanisms as found in dedicated protocols, such as time-triggered CAN (TTCAN), Flexray, and time-sensitive networking (TSN)-enabled Ethernet. However, many microcontroller units are not specifically designed to provide such a hardware-based solution at the communication interface. Therefore, a software-based implementation of the time synchronization algorithm is needed. Nevertheless, some commercial off-the-shelf (COTS) microcontroller units already provide an IEEE 1588-enabled Ethernet interface, including a high-precision timer module with rate correction. This module can be used for time synchronization purposes to align a set of distributed clocks via various communication interfaces. This article investigates the accuracy of software-based and hardware-supported time synchronization algorithm over the controller area network (CAN) protocol using a COTS microcontroller. As a result, we present identified jitter and delay sources as well as the achieved time accuracy. We show that using an advanced timer module combined with additional system knowledge allows submicrosecond precision and accuracies.

Journal ArticleDOI
TL;DR: In this article , the authors demonstrate analytically, using as an example the commonly-used Michelson combination X, that TDI can be computed using the raw, unsynchronized data, thereby avoiding the need for an initial synchronization processing step and significantly simplifying the initial noise reduction pipeline.
Abstract: Time-delay interferometry (TDI) is a data processing technique for LISA designed to suppress the otherwise overwhelming laser noise by several orders of magnitude. It is widely believed that TDI can only be applied once all phase or frequency measurements from each spacecraft have been synchronized to a common time frame. We demonstrate analytically, using as an example the commonly-used Michelson combination X, that TDI can be computed using the raw, unsynchronized data, thereby avoiding the need for an initial synchronization processing step and significantly simplifying the initial noise reduction pipeline. Furthermore, the raw data is free of any potential artifacts introduced by clock synchronization and reference frame transformation algorithms, which allows to operate directly on the MHz beatnotes. As a consequence, in-band clock noise is directly suppressed as part of TDI, in contrast to the approach previously proposed in the literature (in which large trends in the beatnotes are removed before the main laser-noise reduction step, and clock noise is suppressed in an extra processing step). We validate our algorithm with full-scale numerical simulations that use LISA Instrument and PyTDI and show that we reach the same performance levels as the previously proposed methods, ultimately limited by the clock sideband stability.

Journal ArticleDOI
TL;DR: In this paper , a real-time push-to-talk (PTT) scheme was proposed to solve the clock synchronization problem in distributed synthetic aperture radar (SAR) systems.
Abstract: Distributed synthetic aperture radar (SAR) has various applications, including multiangle imaging, SAR tomography, and interferometric SAR. However, these applications are affected by several factors, especially clock synchronization. Recently, an innovative push-to-talk (PTT) scheme was proposed to tackle the clock synchronization problem. As the PTT scheme can directly obtain the time and frequency deviations of the ultrastable oscillators (USOs), it gives the possibility to achieve the clock synchronization of distributed SAR in real time. Therefore, this letter builds a real-time framework of the PTT scheme. Compared with the previous clock synchronization module (CSM), an estimation module and a control module are added in this framework to fabricate an improved CSM (ICSM). The time and frequency deviations obtained by the ICSM are used to directly correct the GPS disciplined rubidium clocks. Based on the ICSM, some simulations are performed. The results indicate that the established real-time framework can achieve high-precision time and frequency synchronization. In addition, we discuss the system implementation.

Journal ArticleDOI
TL;DR: In this paper , the authors present a synchronization scheme for the next-generation global navigation satellite architecture named Kepler, where all the satellites perform pairwise relativistic TWTT, providing relative clock offsets in a predefined coordinate time scale.
Abstract: To improve the provision of a global satellite navigation service, the German Aerospace Center (DLR) - Institute of Communication and Navigation - is proposing a next-generation global navigation satellite architecture named Kepler. Autonomous synchronization at picosecond-level is a fundamental component of the Kepler concept, achieved via two-way time transfer (TWTT) schemes enabled by optical inter-satellite links (OISLs). This level of synchronization is only achievable if relativistic effects are adequately considered. In this paper we present the synchronization scheme for Kepler: all satellites perform pairwise relativistic TWTT, providing relative clock offsets in a predefined coordinate time scale. These are then distributed across the whole constellation and are used as input for a space-based distributed clock ensemble. Each satellite realizes a local copy of the Kepler system time (KST) by steering a local oscillator, so that all satellites will tend to “beat” the same time, thus achieving a tight synchronization. We show how measurement noise impacts the final synchronization level, in two different designs of the Kepler architecture. Additionally, the impact of constant biases on the system time generation is analyzed. Finally, we assess the impact of the choice of constellation’s measurement topologies (open versus closed rings). The synchronization performance is expressed in terms of maximum time offset between any two satellites of the constellation.

Proceedings ArticleDOI
31 Oct 2022
TL;DR: In this article , the authors provide a detailed analysis on PTP synchronicity and security and design and implement software-only tooling to quantify the PTP performance using commercial off-the-shelf hardware and open-source solutions.
Abstract: The Precision Time Protocol (PTP) synchronizes clocks in a network with high precision. The protocol finds use in many areas, such as smart manufacturing, intra-vehicular networks, and critical infrastructure. It becomes clear that striving for security is an important goal. If an attacker succeeds in disturbing the network synchronization, the impact can result in a cascading set of failures. Unfortunately, neither the previous two IEEE standards for PTP, nor the popular implementation linuxptp, feature or implement sufficient security options.This work focuses on implementing the security extensions for PTP based on the latest PTP standard IEEE 1588-2019 to minimize the threat of attacks and their possible impact. We provide a detailed analysis on PTP synchronicity and security. Based on that, we design and implement software-only tooling to quantify the PTP performance using commercial off-the-shelf hardware and open-source solutions on a linear topology with four to nine hops.The measurements compare the End-to-End (E2E) and Peer-to-Peer (P2P) delay calculation modes and the usage of Transparent Clocks (TC) in parts of the network. Both E2E and P2P show visible degradation of clock synchronization with each hop and standard deviations of 118.6 to 571 ns. The TCs perform better, demonstrating a standard deviation between 90 to 140 ns on four to nine hops. We evaluate different logSyncInterval values corresponding to different PTP profiles and do not observe a major impact on the clock behavior caused by the extensions. The measurement precision of the system is within ±40 ns.Our evaluation of the newly implemented security extensions to linuxptp shows that the security extensions do not have a significant impact on the clock synchronization and our approach is a feasible addition to PTP. Besides, our contributions can aid network managers in assessing their PTP synchronicity systematically.

Journal ArticleDOI
01 Jul 2022-Sensors
TL;DR: A low-cost modification to NTP with clock skew compensation and adaptive clock adjustment is proposed, so that the clock difference between the NTP client and NTP server can be controlled within 1 ms in the wired network environment.
Abstract: In the industrial Internet of Things, the network time protocol (NTP) can be used for time synchronization, allowing machines to run in sync so that machines can take critical actions within 1 ms. However, the commonly used NTP mechanism does not take into account that the network packet travel time over a link is time-varying, which causes the NTP to make incorrect synchronization decisions. Therefore, this paper proposed a low-cost modification to NTP with clock skew compensation and adaptive clock adjustment, so that the clock difference between the NTP client and NTP server can be controlled within 1 ms in the wired network environment. The adaptive clock adjustment skips the clock offset calculation when the NTP packet run trip time (RTT) exceeds a certain threshold. The clock skew compensation addresses the inherent issue that different clocks (or oscillators) naturally drift away from each other. Both adaptive clock adjustment and clock skew compensation are environment dependent and device dependent. The measurement result in our experimental environment shows that the when the RTT threshold is set at 1.7 ms, the best synchronization accuracy is achieved.

Journal ArticleDOI
TL;DR: In this article , a 1/2n frequency and time synchronization (FTS) protocol is proposed for real-time wireless sensor networks, where the master sends the synchronization packet (or beacon) and the slaves scan for this packet with different channels for connection.
Abstract: In a real-time wireless sensor network (RT-WSN), an unpredictable time length of the synchronization (or connection) process between nodes is generally a pity, though the communication after the connection may be controllable. The purpose of this paper is to solve this kind of pity based on the multiple-request-single occasion (multiple slave nodes request to send data to a single master node simultaneously before getting synchronized using the frequency channel hopping technique). Suppose that the master sends the synchronization packet (or beacon) and the slaves scan for this packet with different channels for connection. A slave getting synchronized with the master means that both nodes have just selected an identical frequency channel during a time region and the slave has received the synchronization packet successfully in this region, which is called frequency and time synchronization, abbreviated as FTS. For many existing wireless protocols, if they are directly adopted in this situation, two deficiencies exist as for real-time performances: First, the time length required for a slave to join the network is often not deterministic if one or more channels are disturbed. Second, when multiple slaves do their scanning simultaneously, which slave can synchronize with the master first is unpredictable so that a slave with a lower priority may be serviced prior to others. In this paper, two FTS examples with poor real-time performances are provided first. Then, a synchronization method named 1/2n FTS is presented and proved. With this method, a slave scans for the synchronization packet of the master with n different available channels repeatedly until it gets the packet while the master transmits the packet 2n times in 2n continuous timeslots. The width of the scan widow of the salve takes twice the width of the slot. In this way, every slave has the opportunity to get synchronized with the master at the end of the 2n slots even if one or more (not all) channels are disturbed. Then, the slaves can send their requests to the master in different slots so that the master can schedule subsequent communications according to their requests and priorities. Also, if the mater broadcasts the beacon periodically, the time length for a slave to join or rejoin the master is not difficult to predict. The theorems associated with the 1/2n FTS method are demonstrated in experiments with NORDIC Semiconductor chips.

Journal ArticleDOI
TL;DR: In this paper , the authors proposed a new strategy to estimate high-accuracy satellite clock offsets, which showed a good consistency with GBM clock offsets and presented less fluctuation in the 1-day fitting residuals.
Abstract: Satellite clock offsets are the critical parameters for The Global Navigation Satellite Systems (GNSSs) to provide position and timing (PNT) service. Unlike other GNSSs, BDS-3 uses the two-way superimposition strategy to measure satellite clock offsets. However, affected by some deficiencies of the two-way superimposition strategy, the accuracy of BDS-3 clock offsets parameters is 1.29 ns (RMS), which is the main bottleneck for BDS-3 to improve its space signal accuracy. After analyzing problems in the clock offsets measurement process of BDS-3, the paper proposes a new strategy to real-time estimate high-accuracy satellite clock offsets. The clock offsets estimated by the new strategy show a good consistency with GBM clock offsets. The averaged STD of their differences in MEO is 0.14 ns, and the clock offsets estimated by the new strategy present less fluctuation in the 1-day fitting residuals. Applying the new clock offsets to prediction, BDS-3 can reduce its clock offsets errors from 1.05 ns to 0.29 ns (RMS), about 72%. The above results indicate that the new clock offsets estimated strategy can improve the accuracy of clock offsets parameters of BDS-3 effectively.

Journal ArticleDOI
01 Jan 2022
TL;DR: In this paper , the PSS and SSS detection for finding the physical cell identity (PCI) is implemented on Zynq-7000 series Field Programmable Gate Arrays (FPGA) board.
Abstract: The 5G communication systems are widely established for high-speed data processing to meet users demands. The 5G New Radio (NR) communications comprise a network of ultra-low latency, high processing speeds, high throughput and rapid synchronization with a time frame of 10 ms. Synchronization between User Equipment (UE) and 5G base station known as gNB is a fundamental procedure in a cellular system and it is performed by a synchronization signal. In 5G NR system, Primary Synchronization Signal (PSS) and Secondary Synchronization Signal (SSS) are used to detect the best serving base station with the help of a cell search procedure. The paper aims to determine the Physical Cell Identity (PCI) by using primary synchronization and secondary synchronization blocks. The PSS and SSS detection for finding PCI is implemented on Zynq-7000 series Field Programmable Gate Arrays (FPGA) board. FPGA are reconfigurable devices and easy to design complex circuits at high frequencies. The proposed architecture employs Primary Synchronization Signal (PSS) and Secondary Synchronization Signal (SSS) detection aims with high speed and low power consumption. The synchronization blocks have been designed and the synthesized design block is implemented on the Zynq-7000 series Zed board with a maximum operating clock frequency of 1 GHz.

Journal ArticleDOI
TL;DR: In this paper , the authors present a system architecture for applying global-clock EDF scheduling in distributed systems with soft real-time requirements, and also present experiments to assess the synchronization capabilities of the clock synchronization mechanism.

Journal ArticleDOI
TL;DR: In this article , a point-to-point clock synchronization protocol based on bidirectionally propagating photons generated in a single spontaneous parametric down-conversion (SPDC) source is proposed.
Abstract: We demonstrate a point-to-point clock synchronization protocol based on bidirectionally propagating photons generated in a single spontaneous parametric down-conversion (SPDC) source. Tight timing correlations between photon pairs are used to determine the single and round-trip times measured by two separate clocks, providing sufficient information for distance-independent absolute synchronization secure against symmetric delay attacks. We show that the coincidence signature useful for determining the round-trip time of a synchronization channel, established using a 10 km telecommunications fiber, can be derived from photons reflected off the end face of the fiber without additional optics. Our technique allows the synchronization of multiple clocks with a single reference clock co-located with the source, without requiring additional pair sources, in a client-server configuration suitable for synchronizing a network of clocks.