scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2019"


Proceedings ArticleDOI
25 Nov 2019
TL;DR: The ACTIS A150 (ACTinic Inspection System) as discussed by the authors is the first high-sensitivity actinic patterned mask inspection and review system for extreme ultraviolet (EUV) lithography.
Abstract: As extreme ultraviolet (EUV) lithography enters high volume manufacturing, the semiconductor industry has considered a lithography-wavelength-matched actinic patterned mask inspection (APMI) tool to be a major remaining EUV mask infrastructure gap. Now, an actinic patterned mask inspection system has been developed to fill this gap. Combining experience gained from developing and commercializing the 13.5nm wavelength actinic blank inspection (ABI) system with decades of deep ultraviolet (DUV) patterned mask defect inspection system manufacturing, we have introduced the world’s first high-sensitivity actinic patterned mask inspection and review system, the ACTIS A150 (ACTinic Inspection System). Producing this APMI system required developing and implementing new technologies including a high-intensity EUV source and high-numerical aperture EUV optics. The APMI system achieves extremely high sensitivity to defects because of its high-resolution, low noise imaging. It has demonstrated a capability to detect mask defects having an estimated lithographic impact of 10% CD deviation on the printed wafer.

13 citations



Journal ArticleDOI
07 Dec 2019
TL;DR: In this article, the authors describe the development of the 13.5-nm coherent scatterometry microscope (CMS) with high-order harmonic generation (HHG) for the mask inspection of EUV lithography.
Abstract: Extreme ultraviolet (EUV) lithography with reflective photomasks is currently being refined for high-volume manufacturing of chips with dimensions of 7 nm or less. EUV scanners can replace the most critical layers and provide lithography capabilities complementary to ArF technology. However, the fabrication and inspection of defect-free masks still remain one of the most critical issues facing EUV technology. In this review, we describe our research on the development of the 13.5-nm coherent scatterometry microscope (CMS) with high-order harmonic generation (HHG) for the mask inspection of EUV lithography. Using the HHG-CSM system, we observed programmed pattern defects in a periodic patterned mask. In the diffraction pattern from the EUV mask, a 2-nm wide line defect in an 88-nm line-and-space pattern as well as sub-100 nm sized absorber defects in a 112 nm hole pattern were both detected. By further improving the system, we demonstrated the successful reconstructions of an-88 nm periodic L/S pattern and a cross-pattern with a quantitative phase contrast. These results signify that the standalone HHG-CSM system has tremendous potential.

7 citations


Patent
11 Apr 2019
TL;DR: In this paper, the authors proposed a method and an appliance for predicting the imaging result obtained with a mask when a lithography process is carried out, wherein the mask comprises mask structures to be imaged and the mask is destined to be illuminated in a lithographic process in a projection exposure apparatus with a predetermined illumination setting.
Abstract: The invention relates to a method and an appliance for predicting the imaging result obtained with a mask when a lithography process is carried out, wherein the mask comprises mask structures to be imaged and the mask is destined to be illuminated in a lithography process in a projection exposure apparatus with a predetermined illumination setting for exposing a wafer comprising a photoresist. In accordance with one aspect of the invention, a method according to the invention comprises the following steps: measuring at least one intensity distribution obtained for the mask in the case of an illumination with illumination light in accordance with the illumination setting in a mask inspection apparatus using a sensor arrangement, ascertaining an electric field resulting from the interaction of the illumination light with the mask structures, both in respect of amplitude and in respect of phase, by way of this intensity measurement, and estimating an intensity distribution obtained in the photoresist when the lithography process is carried out on the basis of a mathematical simulation (forward calculation), in which the electric field ascertained is propagated in a layer system comprising at least the photoresist.

6 citations


Journal ArticleDOI
TL;DR: In this article, the impact of a few selected EUV pellicle prototypes on the quality and contrast of the reticle image obtained with RESCAN was studied, and it was demonstrated that RESCAN is suitable for through-pellicle actinic mask inspection and can be also used to characterize and monitor the pellicles quality.
Abstract: Background: The purpose of EUV pellicles is to protect the surface of EUV lithography masks from particle contamination. It is important to ensure that the optical characteristics of the pellicle membrane do not critically affect the reticle image quality. Aim: We want to verify the possibility to integrate pellicle inspection and characterization capabilities in reflective-mode EUV mask scanning microscope (RESCAN), our actinic mask inspection platform based on coherent diffraction imaging. Approach: We studied the impact of a few selected EUV pellicle prototypes on the quality and the contrast of the reticle image obtained with RESCAN. Results: We measured the scattering distribution of the pellicles, and we correlated it with the mask image contrast and fidelity. We also detected the presence of a 6.5-μm-diameter fiber on the pellicle surface. Conclusions: We demonstrated that RESCAN is suitable for through-pellicle actinic mask inspection and can be also used to characterize and monitor the pellicle quality.

6 citations


Proceedings ArticleDOI
21 Jun 2019
TL;DR: In this paper, a lensless approach based on coherent diffraction imaging at Extreme Ultra Violet (EUV) light at a wavelength of 13.5 nm is described. But the inspection of the EUV photomask is still an open issue as no commercial solutions are currently available.
Abstract: The production of modern semiconductor devices is based on photolithography, a process through which a pattern engraved on a mask is projected on a silicon wafer coated with a photosensitive material. In the past few decades, continuous technological progress in this field allowed the industry to follow Moore’s law by reducing the size of the printed features. This was achieved by progressively increasing the numerical aperture of the projection system and reducing the wavelength. The latest lithography platforms for semiconductor manufacturing employ Extreme Ultra Violet (EUV) light at a wavelength of 13.5 nm. The metrology for the optics and the components of such platforms is not fully mature yet. Specifically, the inspection of the EUV photomask is still an open issue as no commercial solutions are currently available. Here we describe a lensless approach to this problem, based on coherent diffraction imaging at EUV that overcomes the main technological issues linked to the conventional mask inspection approach.

5 citations


Proceedings ArticleDOI
26 Sep 2019
TL;DR: The proposed ‘Multi-Shot’ method is based on multiple acquisitions and inspections of every location in the mask, taking advantage of information that cannot be used independently such as: defect polarity, averaged signal and defect rank, and local SNR.
Abstract: 193nm mask inspection will remain a viable solution for inspection of ArF technology masks for the 7nm/5nm technology node and beyond, even in the era of EUV lithography. In the ArF technology, pitch multiplication (SADP, SAQP, etc.) will continue to be used along with aggressive OPCs to achieve scaling. Although no major technology inflection is seen, mask capacities will continue to grow until EUV will be fully inserted into mass production. As a result, mask inspection sensitivity and defect dispositioning will remain a gating factor. Moreover, mask metrology will become a critical factor in wafer fabrication and process control. In this paper, the mask inspection challenges for 7nm/5nm and beyond are described and suggested solutions are outlined. One of the main challenges in mask pattern inspection is reducing false defects by filtering the additive white Gaussian noise (AWGN) added to the pattern image (e.g. shot-noise). Common solutions for reducing AWGN are: creating multi reference (such as ‘cell to cell’ and ‘die to many dies’) and spatial averaging (such as ‘matched filter’). However, extra sensitivity is needed at 7nm/5nm technology inspection where defect signal is very weak and close to the noise level. We propose the ‘Multi-Shot’ method as a solution for this problem. ’Multi Shot’ is based on multiple acquisitions and inspections of every location in the mask. The ‘Multi-Shot’ information is exploited through the entire detection flow, taking advantage of information that cannot be used independently such as: defect polarity (random noise does not retain polarity over multiple instances while real defects do), averaged signal and defect rank (local SNR). The added throughput impact of the ‘Multi-Shot’ approach is negligible due to pixel-size optimization. Theoretical framework predicts a ~30% sensitivity (SNR) increase by this method over current approaches, corroborated by experimental data testing. Another significant inspection challenge is the difference between defect measurement methods. The captive mask shops, the merchants and wafer FABs all are interested in the amount of edge dispositioning caused by the defects, measured in units of nm, while traditionally the inspection output is defined by pattern intensity changes due to the defect, measured in grey level units. Translation from intensity to edge dispositioning requires two conditions: The first- applying an Aerial imaging with exact exposure conditions which enables correct dispositioning assessment; and the second- estimating a gray level threshold (print threshold) to be used to convert an Aerial image to a binary printing image (as an equivalent to the resist threshold used in wafer fabrication). Defect dispositioning measurement enables nuisance filtering (by ignoring non-printing defects and defects with very small dispositioning values even if they have high intensity values). The innovation of the solution described in this paper is the integration of metrology and inspection to provide robust detection solutions. 193nm wavelength inspection will continue to be a critical factor in mask manufacturing as well as one of the strongest candidates available today for the initial EUV mask inspection approach. In this aspect we are working to implement ArF new development for future EUV mask inspections.

4 citations


Proceedings ArticleDOI
26 Mar 2019
TL;DR: A mask process compatible with a 30nm pitch patterning module for the demanding sub 7nm node, single expose interconnect application, and a large increase in mask to wafer image transfer sensitivity during the 32nm to 30nm pitches development that led to increases in stochastic and systematic wafer defect generation mechanisms.
Abstract: Extreme ultraviolet lithography (EUVL) is entering an industry production phase for 7nm logic and is under development for next node logic and memory applications. A key benefit of EUVL for logic interconnect lithography comes from the ability to pattern the metal layer at aggressive pitch using a single exposure. We report here a mask process compatible with a 30nm pitch patterning module for the demanding sub 7nm node, single expose interconnect application. We found a large increase in mask to wafer image transfer sensitivity during the 32nm to 30nm pitch shrink development that led to increases in stochastic and systematic wafer defect generation mechanisms. In this work, we describe our steps to characterize, model and improve the mask related factors that reduce this sensitivity as part of a successful 30nm pitch patterning module demonstration. High resolution wide area electron beam mask inspection alongside a suite of advanced mask characterization and optimization(AMCO)tools were key elements in understanding mask process gaps and improvement opportunities. Critical mask parameters optimized in closed loop with wafer response included two and three dimensional pattern fidelity, line roughness and spatial variability. Mask critical dimension targeting was found to be a critical factor for delivering the yielding 30nm pitch wafer process and this targeting was tuned dynamically through mask and wafer co-optimization. Finally, the role of wafer anchored process simulation proved an invaluable guide for linking various mask error source mechanisms to the wafer response.

4 citations


Journal ArticleDOI
TL;DR: In this article, the authors evaluate the performance of a reflectivemode EUV mask scanning microscope (RESCAN), with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni).
Abstract: Background: One of the challenges for extreme ultraviolet (EUV) lithography is the mitigation of mask three-dimensional effects arising from the oblique incident angle and the mask topography. As the scanners’ numerical aperture and the pattern aspect ratio increase, these effects become more prominent. A potential solution to reduce them consists in replacing the current TaBN absorber for a higher-k material. Aim: We demonstrate the potential of a mask inspection platform to evaluate the impact of different absorber materials on actinic defect inspection. Approach: We evaluate the performance of a reflective-mode EUV mask scanning microscope (RESCAN), our actinic lensless inspection tool, with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni). We study the effect of these materials on the image formation and compare the defect maps. Results: The Ni absorber mask exhibits a better contrast compared to the TaBN one, even though the thickness of the layers differs only by 10 nm. Programmed defects are localized and detected with a high signal-to-noise ratio (SNR). Conclusions: The gain in contrast for the Ni absorber being significant, the SNR is higher for a smaller defect in a TaBN absorber photomask. RESCAN allows the evaluation of the performance of absorber materials in defectivity and image formation on small samples.

4 citations


Proceedings ArticleDOI
26 Mar 2019
TL;DR: In this paper, the use of an array of four cantilevers as a Quattro-Array with an image size of 0.5mm x 0.2mm is achieved employing a piezoelectric positioner.
Abstract: Atomic Force Microscopy (AFM) is a capable to provide high resolution CD-metrology and precise defects analysis on large wafers, masks or displays. However, AFM is not enough productive for high-throughput industrial uses. Standard single probe AFMs are showing low throughput as a serial imaging tools. The use of an array of four cantilevers as a Quattro-Array results in effective speed of 6 to 10 mm/s. An image size of 0.5mm x 0.2mm is achieved employing a piezoelectric positioner with a scan range of 200μm x 200μm and a resolution of 0.25nm (x,y) and 0.2nm (z), respectively. These capabilities are qualifying the Quattro-cantilever array system as fastest tool for. In this paper we present new results obtained with our Quattro-AFM high-throughput parallel SPM system that exhibits two key advances that are required for a successful deployment of SPM in time-efficient metrology, defect analysis and mask inspection.

3 citations


Proceedings ArticleDOI
26 Sep 2019
TL;DR: A new application called LPR (Lithography Printability Review) is introduced to verify any outlier defects or repairs before the mask ships to the wafer fab, showing the benefits realized in mask making cycle time as a result of implementing LPR into a high volume advanced photomask production line.
Abstract: In the advanced technology photomask manufacturing industry, it is challenging to produce defect-free photomasks, especially for the increasingly smaller critical dimension current days . Since the 193nm immersion scanner numerical aperture (1.35) has remained the same as in previous nodes, more mult i-patterning and aggressive source mask optimizat ion illumination sources are being used to print smaller feature crit ical dimensions (CDs) and pitches. To accommodate such specialized sources, more model -based mask OPC and ILT are being used, making mask designs very complicated. This in turn makes mask manufacturing very challenging , especially for the defect inspection, repair, and metrology processes that are used to guarantee defect-free masks. So, it is necessary to develop an application for handling mask defects. In this paper, we introduce a new application called LPR (Lithography Printability Review) to verify any outlier defects or repairs before the mask ships to the wafer fab. The paper details how LPR works in the mask-making flow and how the LPR module is set up. This application has been tightly integrated with KLA’s server and inspectors. The paper concludes with showing the benefits realized in mask making cycle time as a result of implementing LPR into a high volume advanced photomask production line.


Proceedings ArticleDOI
27 Jun 2019
TL;DR: This work will show how uncertainty over pellicle technology options and timing cascade into a series of questions related to reticle qualification flows throughout the lifetime of a mask, and anticipate that a combination of wafer-based and reticle-based inspection will be required to fully ensure reticle quality.
Abstract: After years of optimistic projections and false starts, 2019 is finally the year that EUV will enter volume production. Mask shop investment in EUV-capable equipment, including writing, inspection, metrology, repair, review and cleaning tools as well as related infrastructure for storage, transportation, and pellicle support has been substantial. However, in both mask shops and wafer fabs, key questions remain unanswered even as high volume manufacturing (HVM) begins in the fab. We will highlight several of these questions that still need to be answered to develop comprehensive, end-to-end strategies for mask inspection, use, and qualification strategies. In particular, we will show how uncertainty over pellicle technology options and timing cascade into a series of questions related to reticle qualification flows throughout the lifetime of a mask. Additional uncertainty comes from the lack of data on reticle contamination mechanisms during use in high-power EUV exposure tools. Concerns over hydrocarbon deposition and reaction with intense EUV photons as well as with the out-of-band DUV present in the system, will require the development of careful monitoring and re-qualification plans. Reticle requalification cycles will be gated not just by the number of wafers exposed, but by the number of times a reticle is loaded and unloaded from the scanner and how long it sits in storage between cycles. We anticipate that a combination of wafer-based and reticle-based inspection will be required to fully ensure reticle quality, especially if a pellicle solution is adopted which does not allow 193nm based inspection. These tradeoffs and uncertainties will be discussed in the context of a full, mask blank to wafer fab reticle qualification strategy for EUV volume manufacturing.

Journal ArticleDOI
Dong Gon Woo1, Young Woong Kim1, Yong Ju Jang1, Seong Ju Wi1, Jinho Ahn1 
TL;DR: In this article, the authors verify the pellicle/mask inspection feasibility of lensless imaging (ESLI) and verify the impact of contaminants on pellicles depending on their size.
Abstract: Background: An extreme ultraviolet (EUV) pellicle is necessary to increase the process yield even though the declining throughput is a big concern. However, an EUV metrology/inspection tool for this pellicle has not been commercialized yet. Aim: The goal of this study is to verify the pellicle/mask inspection feasibility of EUV scanning lensless imaging (ESLI) and verify the impact of contaminants on pellicles depending on their size. Approach: Through-pellicle imaging was implemented by using ESLI, which uses a high-order harmonic generation EUV source and ptychography. Optical characteristics of various sizes of Fe-contaminated EUV pellicles were evaluated to verify their impact on wafer images. Results: Large size (∼10 μm) contaminants on the pellicle were found to contribute to the final wafer pattern loss. However, small size (2 to 3 μm) contaminants on the pellicle do not have substantial impact on the wafer image. Conclusions: The defect detection capability of ESLI for pellicle and mask was confirmed. Therefore, ESLI is useful in applications like pellicle qualification and EUV mask inspection metrology.

Patent
05 Jul 2019
TL;DR: In this paper, a lighting device for inspecting a mask enables a precise inspection of a foreign substance attached to the mask and is capable of clearly identifying a pattern hole and the foreign substance formed on the mask.
Abstract: According to the present invention, a lighting device for inspecting a mask enables a precise inspection of a foreign substance attached to the mask and is capable of clearly identifying a pattern hole and the foreign substance formed on the mask. The lighting device for inspecting the mask comprises a first lighting including a plurality of first light sources emitting a plurality of first lights toward the mask and a first mirror for concentrating the plurality of first lights on the mask, and a second light emitting a second light having a same axle with the first light toward the mask. The foreign substance can be smoothly removed on the basis of the precise inspection of the foreign substance according to the type of foreign substance, and a reliability of the mask inspection process can be improved.

Proceedings ArticleDOI
27 Jun 2019
TL;DR: In this paper, the defect sensitivity and inspectability on the product mask were investigated for a 19x nm AIMS-based EUV product mask inspection system, with special attention paid to defect sensitivity.
Abstract: Based on the record for reasonable throughput, 19x nm wavelength inspection is one of the strongest candidates available today for the initial EUV (Extreme Ultraviolet) mask inspection approach until high-throughput E-Beam or actinic inspection is ready. However, there are several key challenges with 19x nm optical inspection of EUV masks. In the previous study, it was demonstrated that a 19x nm inspection system was capable of detecting programmed 15nm edge defects and 7nm CD errors on the programmed defect mask (PDM) containing EUV device designs, and inspected at maximum sensitivity. However, in that study, the inspectability on the product mask was not considered. In this study, EUV product mask inspection with a 19x nm inspection system is demonstrated, with special attention paid to defect sensitivity and inspectability on the product mask. In our results, we discuss whether inspection conditions, such as focus, can be employed to create a trade-off between defect sensitivity and inspectability. In addition, we discuss how defect measurement definitions affect the programmed defect size and the printability on EUV AIMS.

Proceedings ArticleDOI
29 Sep 2019
TL;DR: The RESCAN microscope as mentioned in this paper is a lensless imaging platform dedicated to EUV mask defect inspection and metrology, and it has a resolution of about 35 nm, which is similar to that of state-of-the-art EUV microscopes.
Abstract: The EUV photomask is a key component of the lithography process for semiconductor manufacturing. A critical defect on the mask could be replicated on several wafers, causing a significant production yield reduction. For this reason, actinic patterned mask inspection is an important metrology component for EUV lithography. The RESCAN microscope is a lensless imaging platform dedicated to EUV mask defect inspection and metrology. The resolution of the tool is about 35 nm, which is similar to that of state-of-the-art EUV microscopes. To improve the resolution of RESCAN, we designed an upgraded optical layout for the illumination system and we developed a coherent diffraction imaging-compatible method to synthesize a custom pupil structure. This new scheme will enable a lensless EUV microscope with a resolution down to 20 nm and thereby allow mask review capabilities for future technology nodes with EUV lithography.

Patent
21 May 2019
TL;DR: In this article, a metal plate for producing a vapor deposition mask, an inspection method for the metal plate, a production method for metal plate and a vapor-deposition mask, a manufacturing method thereof and a VDM device were described.
Abstract: The invention relates to a metal plate for producing a vapor deposition mask, an inspection method for the metal plate, a production method for the metal plate, a vapor deposition mask, a manufacturing method thereof and a vapor deposition mask device. The metal plate comprises a surface having a longitudinal direction and a width direction orthogonal to the longitudinal direction. The surface reflectance for reflected light emitted from the surface at an angle of 45 DEG +/- 0.2 DEG in at least one plane orthogonal to the surface, is 8%-25% of the reflected light observed when light is causedto be incident on the surface.

Patent
05 Feb 2019
TL;DR: In this paper, the phase characteristics of the phase shift portion included in the transfer pattern of the photomask were measured by moving at least a part of the camera, the projection optical system, and the imaging surface in the optical axis direction.
Abstract: A photomask inspection method, a photomask manufacturing method, and a photomask inspection apparatus can easily and directly measure the amount of phase shift of the phase shifting portion included in the transfer pattern of the photomask The photomask inspection method measures the phase characteristics of the phase shift portion included in the transfer pattern of the photomask, and includes astep of providing a photomask in an inspection apparatus including a projection optical system, and an optical image data acquisition step of exposing the provided photomask and projecting the optical image of the phase shifting portion onto the imaging surface to obtain optical image data; and a calculation step of calculating the phase shift amount of the phase shifting portion using the acquired optical image data, in the optical image data acquisition step, optical image data in each of a plurality of in-focus states is obtained by moving at least a part of the photomask, the projection optical system, and the imaging surface in the optical axis direction In the calculation step, the phase shift amount is obtained using the acquired optical image data of a plurality of in-focus states

Patent
28 Nov 2019
TL;DR: In this paper, a photomask inspection device was proposed to detect diffraction patterns of a phase shift part 8b of an 80-mm phase shift mask 80 using a Fourier transformation lens and a first optical sensor.
Abstract: To provide a photomask inspection device capable of detecting a diffraction pattern that is more suitable for measurements.SOLUTION: A photomask inspection device 1 measures pattern characteristics of a phase shift part 8b of a phase shift mask 80. The photomask inspection device 1 includes a holding part 90, an irradiation part 10, a slit mask 24, a Fourier transformation lens 25 and a first optical sensor 27. The holding part 90 holds the phase shift mask 80. The irradiation part 10 irradiates a region including a light-transmitting part 8a and the phase shift part 8b with light. The slit mask 24 has a slit 24a and is disposed at a position where light that has been transmitted through a part in the width direction of the light-transmitting part 8a and through the whole area in the width direction of the phase shift part 8b passes through the slit 24a. The light that has passed through the slit 24a enters the Fourier transformation lens 25. The first optical sensor 27 detects a diffraction pattern of the light from the Fourier transformation lens 25 at a plurality of timings.SELECTED DRAWING: Figure 2

Patent
05 Jul 2019
TL;DR: In this paper, a mask support device consisting of a support unit, a lifting unit, and an alignment unit is proposed to align the mask supported by the support unit to the preset position.
Abstract: The present invention relates to a mask support device comprising: a support unit; a lifting unit for transferring the mask loaded from the outside to the support unit; and an alignment unit for aligning the mask supported by the support unit to the preset position. Since the mask is aligned in the preset position and the position of the mask aligned to the preset position can be maintained firmly, the reliability of a mask inspection process and a mask repair process is improved.

Patent
23 May 2019
TL;DR: In this article, the authors proposed a method and a device for mask inspection, for inspecting a mask for use in lithography, the method comprising the following steps: identifying at least one region at which the line width of structures present on the mask (110, 210, 310, 410, 510, 510) deviates from a mean line width according to a qualitative estimation; quantitively determining said deviations by measuring the linewidth in the identified region.
Abstract: The invention relates to a method and a device for mask inspection, for inspecting a mask for use in lithography, the method comprising the following steps: identifying at least one region at which the line width of structures present on the mask (110, 210, 310, 410, 510) deviates from a mean line width according to a qualitative estimation; and quantitively determining said deviations by measuring the line width in the at least one identified region; wherein the identification of the at least one region is based on measurements of the intensity of electromagnetic radiation after the diffraction of said radiation on the mask, and the mask (110, 210, 310, 410, 510) is illuminated with illumination light in a collimated beam path during these intensity measurements

Patent
16 Mar 2019
TL;DR: In this paper, the phase shift characteristics of a phase shift portion included in a transfer pattern of a photomask are measured by using the acquired optical image data of the focus states.
Abstract: To enable simple and direct measurement of a phase shift amount of a phase shift portion included in a transfer pattern of a photomask. A photomask inspection method of measuring phase shift characteristics of a phase shift portion included in a transfer pattern of a photomask includes a step of mounting the photomask in an inspecting device having a projection optical system, an optical image data acquiring step of acquiring optical image data by exposing the photomask mounted in the inspecting device and projecting an optical image of the phase shift portion onto an image pickup surface, and a calculation step of calculating a phase shift amount of the phase shift portion by using the acquired optical image data. In the optical image data acquiring step, at least one of the photomask, the projection optical system, and the image pickup surface is moved in an optical axis direction to acquire the optical image data in each of a plurality of focus states. In the calculation step, the phase shift amount is obtained by using the acquired optical image data of the focus states.

Patent
18 Jan 2019
TL;DR: In this paper, an ultraviolet vertical alignment UV2A exposure device, a mask inspection device and a processing device are used to obtain a plurality of target masks with scratches, and the masks can be processed according to the scratch inspection results of the target masks.
Abstract: The invention provides a processing system for masks. The system comprises an ultraviolet vertical alignment UV2A exposure device, a mask inspection device and a processing device. The mask inspectiondevice is connected with the UV2A exposure device and the processing device. The UV2A exposure device is used for obtaining to-be-selected masks. The mask inspection device is used for inspecting theto-be-selected masks and obtaining a plurality of target masks. The processing device is used for obtaining a scratch inspection result of each target mask and processing each target mask according to the scratch inspection result of each target mask. According to the processing system for the masks provided by the invention, the plurality of target masks with scratches can be actively obtained,the masks can be processed according to the scratch inspection results of the target masks, and processing efficiency is improved.