scispace - formally typeset
Open AccessJournal ArticleDOI

Comparative study of extreme ultraviolet absorber materials using lensless actinic imaging

TLDR
In this article, the authors evaluate the performance of a reflectivemode EUV mask scanning microscope (RESCAN), with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni).
Abstract
Background: One of the challenges for extreme ultraviolet (EUV) lithography is the mitigation of mask three-dimensional effects arising from the oblique incident angle and the mask topography. As the scanners’ numerical aperture and the pattern aspect ratio increase, these effects become more prominent. A potential solution to reduce them consists in replacing the current TaBN absorber for a higher-k material. Aim: We demonstrate the potential of a mask inspection platform to evaluate the impact of different absorber materials on actinic defect inspection. Approach: We evaluate the performance of a reflective-mode EUV mask scanning microscope (RESCAN), our actinic lensless inspection tool, with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni). We study the effect of these materials on the image formation and compare the defect maps. Results: The Ni absorber mask exhibits a better contrast compared to the TaBN one, even though the thickness of the layers differs only by 10 nm. Programmed defects are localized and detected with a high signal-to-noise ratio (SNR). Conclusions: The gain in contrast for the Ni absorber being significant, the SNR is higher for a smaller defect in a TaBN absorber photomask. RESCAN allows the evaluation of the performance of absorber materials in defectivity and image formation on small samples.

read more

Content maybe subject to copyright    Report

Comparative study of extreme ultraviolet absorber
materials using lensless actinic imaging
Sara Fernandez,
a,
* Dimitrios Kazazis,
a
Rajeev Rajendran,
a
Iacopo Mochi,
a
Patrick Helfenstein,
a
Shusuke Yoshitake,
b
and Yasin Ekinci
a
a
Paul Scherrer Institute, Villigen PSI, Villigen, Switzerland
b
NuFlare Technology, Inc., Isogo-ku, Yokohama, Japan
Abstract
Background: One of the challenges for extreme ultraviolet (EUV) lithography is the mitigation of mask three-
dimensional effects arising from the oblique incident angle and the mask topography. As the scanners numerical
aperture and the pattern aspect ratio increase, these effects become more prominent. A potential solution to
reduce them consists in replacing the current TaBN absorber for a higher-k material.
Aim: We demonstrate the potential of a mask inspection platform to evaluate the impact of different absorber
materials on actinic defect inspection.
Approach: We evaluate the performance of a reflective-mode EUV mask scanning microscope (RESCAN), our
actinic lensless inspection tool, with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni).
We study the effect of these materials on the image formation and compare the defect maps.
Results: The Ni absorber mask exhibits a better contrast compared to the TaBN one, even though the thickness
of the layers differs only by 10 nm. Programmed defects are localized and detected with a high signal-to-noise
ratio (SNR).
Conclusions: The gain in contrast for the Ni absorber being significant, the SNR is higher for a smaller defect in
a TaBN absorber photomask. RESCAN allows the evaluation of the performance of absorber materials in defec-
tivity and image formation on small samples.
© 2019 Society of Photo-Optical Instrumentation Engineers (SPIE) [DOI: 10.1117/1.JMM.18.1.013506]
Keywords: extreme ultraviolet lithography; extreme ultraviolet absorber; photomask; actinic inspection; coherent diffraction imaging.
Paper 18125 received Oct. 9, 2018; accepted for publication Feb. 28, 2019; published online Mar. 18, 2019.
1 Introduction
Extreme ultraviolet (EUV) photomask technology, including
fabrication, characterization, and inspection, is of key impor-
tance to make EUV lithography a cost-effective technology
for high-volume semiconductor manufacturing. In this con-
text, one of the core challenges is the mitigation of mask
three-dimensional (3-D) effects, such as pitch-dependent
focus shift, induced pattern asymmetries, and image contrast
loss. Indeed, mask topography effects become more impor-
tant as the mask feature sizes decrease, so that their origin
and influence in aerial images must be carefully investigated
and mitigated.
1,2
Among the strategies to reduce the impact of the mask
3-D effects, reducing the thickness of the absorb er layer, i.e.,
changing the material of the absorber stack with a high-k
absorber while keeping the optical contrast similar, has been
widely studied with numerical simulations.
15
Neverthe-
less, the fabrication of such samples remains challenging.
3
A direct experimental comparison between different absorb-
ers and the impact of the chosen mat erials on aerial image
formation is critical. By using actinic inspection, the amount
or/and the kind of defects found within a mask may help in
discarding or promoting a certain material. Furthermore, the
use of different absorber materials allows the possibility of
evaluating the resolution of the inspection tool itself as
a function of the contrast for a given thickness of the chosen
absorber stack.
In this paper, the imaging performance of reflective-mode
EUV mask scanning microscope (RESCAN) on masks with
three different absorber materials is presented. RESCAN is
used for EUV mask inspection and it offers high resolution
by employing coherent diffraction imaging (CDI) methods to
retrieve both the magnitude and the phase of the sample,
thereby allowing the localization of both amplitu de and
phase defects with a very high sensitivity.
610
In previous works, we have demonstrated the capability
of RESCAN to detect programmed defects as small as 50 nm
on random patterns fabricated in-house.
9
In our studies, the
absorber consisted of a hydrogen silsesquioxane (HSQ) layer
with 140-nm thickness. Here, we use an analogous sample to
be compared with a state-of-the-art EUV mask, which is
a 70-nm-thick TaBN absorber, and a 60-nm-thick Ni layer,
where the latter is among the preferred materials to optimize
the absorber physical properties.
3,4,11
In Sec. 2, we explain
the choice of these absorber materials and their op tical
properties and describe the samples investigated during the
experiments presen ted here (Sec. 2.1). Then, the instrumen-
tal setup and technique employed in RESCAN are described
(Sec. 2.2). The results in Sec. 3 show the comparative
*Address all correspondence to Sara Fernandez, E-mail: sara.fernandez@psi
.ch
1932-5150/2019/$25.00 © 2019 SPIE
J. Micro/Nanolith. MEMS MOEMS 013506-1 JanMar 2019
Vol. 18(1)
J. Micro/Nanolith. MEMS MOEMS 18(1), 013506 (JanMar 2019)
Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 02 Sep 2019
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

analysis of the imaging properties of different samples as
well as the die-to-die inspection results.
2 EUV Mask Absorber Materials and Inspection
Evaluation
EUV mask stacks consist of a MoSi multilayer (ML), gen-
erally composed of 40 to 50 bilayers, a Ru-based capping
layer on top, and an absorber structure, as sketched in Fig. 1.
The pattern is defined by selectively etching the absorber
material on top of the ML mirror and the interaction of
the incident light with the mirror itself. The contrast in the
image is provided by the intensity difference between the
light reflected by the mirror and the light transmitted through
the absorber layer. Ta-based materials, e.g., TaN and TaBN,
provide high absorption and are the state-of-the-art materials
in EUV lithography (λ ¼ 13.5 nm). Nevertheless, a thick-
ness of 70 nm is needed to ensure accept able absorption,
3
which induces the so-called mask 3-D effects in the resulting
projected images at nonzero angle of incidence. Moreover,
these effects are likely to become more prominent as the pat-
tern critical dimension (CD) decreases. Different routes to
mitigate these effects are currently being investigated, e.g.,
completely removing the absorber layer and etchi ng the
ML,
12,13
changing the EUV mask structure,
14
introducing
phase shifting masks
15
or considering other absorber materi-
als that have stronger absorption, i.e., higher k-values than
Ta, such as Ni or Co.
1,4
Here, we focus on the choice of alter-
native materials and evaluate the imaging performances after
the reduction of the absorb er thickness.
2.1 Samples Description
When choosing the most suitable absorber material for EUV
masks, several factors play a role, such as their optical prop-
erties at EUV wavelength, the feasibility of patterning with
standard nanofabricat ion methods and the line-edge rough-
ness (LER) of the resulting absorber pattern. The contrast
between the absorbed and the reflected light must be
enhanced. As previously mentioned, the absorber thickness
has to be reduced as much as possible to minimize the prox-
imity effects due to the oblique incident illumination. Hence,
a high absorption coefficient (k) and a refractive index (n)as
close to 1 as possible are desirable. The optical properties of
the absorbers evaluated in this study are displayed in Table 1,
in which we have included the thickness of the layers form-
ing our samples. Note that, in the case of Ni, the optimal
thickness depends on the absorber coefficient and could
be optimized.
4,16
However, obtaining thin, smooth, and
defect-free Ni layers on ML stacks without damaging the ML
is extremely difficult.
17,18
Also, in the case of HSQ, the index
of refraction depends on the development parameters after
electron beam exposure, as density and composition may
vary.
19
The given range corresponds to a density between
1.57 and 2.17 gcm
3
.
20
To compare the influence of different absorber materials
on the CDI reconstructions, we used a TaBN EUV mask (see
Fig. 1) (manufactured by Nuflare Technology Inc. and Dai
Nippon Printing Co., Ltd.), representing the state-of-the-art
absorber stack. We manufactured EUV masks with HSQ and
Ni absorber layers in-house. Both layers were deposited on
a silicon wafer with a MoSi ML designed for high reflectivity
at 6-deg angle of incidence and 13.5-nm wavelength. We
chose HSQ due to its patterning simplicity. HSQ is a high-
resolution, negative-tone e-beam resist, yielding SiO
2
pat-
terns subsequent to exposure and development. For the
fabrication of the Ni sample, we carried out extensive proc-
ess optimization to obtain high-quality patterns despite the
fact that Ni was prone to produce high LER due to its crys-
tallinity. We noted that the present thicknesses of the HSQ
and Ni absorbers were not optimized. We used LER as a met-
ric to compare the quality of the patterns in the three absorber
materials. To evaluate the LER we collected SEM images of
a lines-and-spaces pattern following the imec protocol out-
lined in Ref. 21. We measured the profiles of six lines with
a CD of 200 nm and a length of 3 μm; we calculated the
unbiased average power spectral density and we integrated
it to get the LER. We measured LER values of 5.37, 5.72,
and 6.37 for the HSQ, TaBN, and Ni masks, respectively.
The LER of the Ni mask might be improved using a nickel
alloy to reduce its crystallinity.
21
The layout of the EUV masks consists of a nonperiodic
pattern with horizontal power rails and extends over an area
of 15 × 16 μm
2
, as shown in Fig. 2(a). SEM images of the
three samples are displayed in Figs. 2(b)2(d). The measured
CD is 114.4 nm for the TaBN sample, and 218 nm for the
samples that are fabricated in-house (HSQ and Ni). Every
sample contains a single programmed defect, consisting of
an extrusion in the center of the pattern, as will be further
explained in Sec. 3.2.
2.2 Methods
To investigate the masks described in Sec. 2.1., we employed
a scanning coherent diffraction method known as ptychog-
raphy. The three photomasks were inspected using the
RESCAN tool installed at the XIL-II beamline at the Swiss
light source (SLS).
22
The incoming coherent beam (λ ¼
13.5 nm) was focused using a condenser and a folding mir-
ror with an incident angle of 6 deg, as depicted in Fig. 3.
Detailed descriptions of the beamline and setup can be
found elsewhere.
9,22
Fig. 1 Schematic representation of the Ta-based EUV photomask
measured in this work, which is the state-of-the-art mask in EUV
photomask technology.
Table 1 Optical properties and thickness of the materials chosen as
absorbers in this study.
6,15
HSQ TaBN Ni
Index of refraction (n) 0.9781-0.9843 0.925 0.948
Absorber coefficient (k ) 0.005-0.008 0.044 0.073
Thickness (nm) 140 70 60
Attenuation length (nm) 217-135 22.7 14.7
J. Micro/Nanolith. MEMS MOEMS 013506-2 JanMar 2019
Vol. 18(1)
Fernandez et al.: Comparative study of extreme ultraviolet absorber materials. . .
Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 02 Sep 2019
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

The masks were scanned with a high-precision piezoelec-
tric posit ioning stage (accuracy of 3nm), whereas the
scattered intensity from the reflective mask was collected
by a two-dimensional detector with 2048 × 2048 pixels of
13.5-μm size. Given the spot size (FWHM) of the incident
illumination of about 3 μm on the sample plane, a step size
of 1 μm was chosen to guarantee a minimum overlap of
60%.
To record the higher spatial frequencies, longer exposure
times are warranted. However, this leads to saturation at
lower frequencies prevalent in the CCD camera. To over-
come this, several diffraction patterns with different exposure
times at every scan position are recorded, thereby ensuring
the coverage of both low and high spatial frequencies with-
out saturation at a high signal-to-noise ratio (SNR). The
exposure times range from 100 ms to 60 s, and the images
are stitched together as explained in Refs. 2325. The
diffraction data sets are then processed with the iterative
algorithms
23,24
to recover simultaneously both the amplitude
and the phase maps of the masks, as well as the complex
illumination probe field.
3 Experimental Results
3.1 CDI Results: Comparison between Absorbers
An area of 15 × 15 μm
2
of each sample was scanned. The
diffraction data sets were used as input of the difference
map engine
26,27
to reconstruct the amplitude and the phase
of the imaged photomasks. This algorithm uses the redun-
dancy on the data, i.e., the common information in two
diffraction patterns at consecutive positions of the scan to
retrieve the experimentally missed phase.
28
Two mixed-
states in the description of the illumination probe were
implemented in order to compensate partial coherent or
sample vibrations during the measurements.
29
The algorithm
was run for about 600 iterations until changes to the recon-
structed images between iterations became insignificant.
Figure 4 shows the reconstructed actinic images (ampli-
tude maps) of about 10 × 10 μm
2
area of the masks made by
the HSQ and Ni absorbers and the equivalent one of about
6 × 6 μm
2
of the TaBN absorber (smaller CD). The achieved
pixel resolution is 34 nm. The reconstructed amplitude
images reproduce in both cases the mask design with
high fidelity. To compare the contrast of the independent
reconstructions, we define the contrast C as
EQ-TARGET;temp:intralink-;sec3.1;326;444C ¼
I
M
I
m
I
M
þ I
m
;
where
I
M
and I
m
denote the average intensities of the bright
and dark regions, respectively. Using this definition, we find
relative values of 51%, 59%, and 67% for the HSQ, TaBN,
and Ni masks, respectively. As expected, the retrieved
images show an enhancement of contrast in the case of
the thinnest absorber, i.e., the Ni one. The 8% increase of
contrast of the Ni mask with respect to the TaBN mask is
remarkable for the 10-nm thinner absorber, owing to the
lower absorber coefficient of Ni (see Table 1). Note that
the contrast values are relative. Quantitative optical analysis
of the reconstructions is limited by the flare and random
noise added to the background in the ptychographic retriev-
ing process.
The retrieved phase maps are shown in Fig. 5. The phase
contrast measured from the reconstructed images yields
phase differences of Δϕ
HSQ
¼ 2.72 0.2 rad, Δϕ
TaBN
¼
2.19 0.3 rad, and Δϕ
Ni
¼ 2.74 0.5 rad, respectively.
For absorber materials, the phase change is related to the
thickness of the layer as follows:
9
EQ-TARGET;temp:intralink-;sec3.1;326;190Δϕ ¼
4πh
λ
1
cos θ
n
2
ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
n
2
sin
2
θ
p
;
where h is the height of the sample, λ is the wavelength,
and θ
i
is the incident angle. Using the refractive index
and the measured thickness values shown in Table 1, we get
Δϕ
TaBN
¼ 2.258 rad and Δϕ
Ni
¼ 2.89, which are consistent
with the measurement obtained with RESCAN. For the HSQ
sample, we calculated the maximum and minimum values
associated with the two limits of the refractive index:
Fig. 3 RESCAN experimental setup at the XIL-II beamline. The aper-
ture on top of the sample is used for the HSQ sample to avoid scatter-
ing from the blank ML outside the patterned field.
Fig. 2 (a) Layout of the unit cell of the random pattern (15 × 16 μm
2
)
(b) and high resolution SEM images on the center of the fields of
the HSQ, (c) TaBN, and (d) Ni absorber samples, respectively.
J. Micro/Nanolith. MEMS MOEMS 013506-3 JanMar 2019
Vol. 18(1)
Fernandez et al.: Comparative study of extreme ultraviolet absorber materials. . .
Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 02 Sep 2019
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Δϕ
HSQ min
¼ 2.04 rad and Δϕ
HSQ max
¼ 3.04 rad. The mea-
sured phase difference is consistent with these values, but we
would need a measurement of the sample refractive index to
make an accurate comparison. As expected for the given
thicknesses, the phase contrast is highest in the case of Ni.
The present study, yielding high-contrast images, shows
the capability of RESCAN to perform EUV actinic mask
inspection with very good spatial resolution and sensitivity,
independent of the chosen absorber material. Our study
shows that a thin absorber improves the magnitude contrast,
whereas HSQ gives a strong phase contrast with low ampli-
tude contrast.
3.2 Actinic Inspection and Defect Sensitivity
As introduced in Sec. 2.1, programmed defect s were printed
on every mask. Next to the field depicted in Sec. 2, Fig. 2(a),
a second pattern, equal to the previous one but containing
a programmed defect, was reproduced. The defect consisted
of an extrusion of 64 × 32 nm
2
in the TaBN mask, and 269 ×
70 nm
2
size in the HSQ and Ni absorber masks due to the
different CDs.
A die-to-die inspection can be performed based on the
magnitude or phase maps using the reconstructed images.
Zooms on the amplitude maps of the reference and defect
fields are displayed in Fig. 6, in the first and second columns,
respectively. The extrusion is circled in red in the recon-
structed amplitude. Every row corresponds to one material:
HSQ, TaBN, and Ni from top to bottom.
On the third column of Fig. 6, the difference between the
defect and the reference images is displayed for every
absorber material. The difference is subtracted after align-
ment of the features to obtain the defect maps, in which
the defect in the TaBN photomask turns out to be resolved
best, despite its smaller size in both directions. For a better
visualization of the intensity arising from the defect, a thresh-
olded defect map is shown in the fourth column of the same
figure.
The defective SNR of these images can be calculated
using the definition:
9
EQ-TARGET;temp:intralink-;sec3.2;326;194SNR ¼
I
D
I
A
stdðAÞ
;
where stdðAÞ is the standard deviation of the magnitude of
the image, and (
I
D
) and (I
A
) are the average magnitude
values calculated on the defect pixels of the image and on
the whole image, respectively. The results are summarized
in Table 2. The highest SNR is found for TaBN. We note
that the reported SNR values are based on the average rather
than on the integrated signal of all the defective pixels and
are therefore independent of the footprint of the defects.
Fig. 4 Zoomed image section of the reconstructed magnitudes of the (a) HSQ, (b) TaBN, and (c) Ni
absorber masks. The color bar applies to all images.
Fig. 5 Zoomed image of the center of the reconstructed phase maps of the (a) HSQ, (b) TaBN, and
(c) Ni absorber masks. The color bar applies to all maps.
J. Micro/Nanolith. MEMS MOEMS 013506-4 JanMar 2019
Vol. 18(1)
Fernandez et al.: Comparative study of extreme ultraviolet absorber materials. . .
Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 02 Sep 2019
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

4 Conclusions and Outlook
Three EUV photomasks with different absorber materials,
i.e., HSQ, TaBN, and Ni, were prepared and evaluated
from the actinic inspection point of view. Lensless imaging
showed a better contrast for the thinnest layer, Ni, followed
by TaBN and HSQ. On the other hand, the retrieved phases
matched the calculated ones from the height of the absorber
stacks.
Programmed defects of sizes ranging from 35- to 70-nm
minimum lateral sizes could be located and evaluated in
RESCAN through a die-to-die approach. A higher SNR is
found for the TaBN absorber, despi te the fact that it exhibited
a lower contrast than Ni. Further investigations are necessary
to generalize this result and make conclusions about the
defect detection sensibility as a funct ion of the material.
A reduction of the Ni thickness would be advantageous
for the comparison of the materials, as well as the reproduc-
tion of the same layout and CDs.
Manufacturability of EUV photomasks with alternative
absorber materials remains, nevertheless, a concern and
needs further work. In this paper, we have shown that
RESCAN is able to perform actinic inspection in any of
the propos ed materials, achieving high resolution and defect
sensitivity and opening routes toward the study of other
kinds of defects. Potentially, phase defects and shadowing
effects at smaller pitches could be studied by taking advan-
tage of the direct measurement of the phase.
Acknowledgments
The authors would like to thank Markus Kropf, Michaela
Vockenhuber, and Li-Ting Tseng for their excellent support
during the experiments and Shingo Yoshikawa, Dai Nippon
Printing Co., Ltd., Japan, for providing the TaBN masks.
Part of this work has been performed at the SLS, Paul
Scherrer Institut, Switzerland, and has been presented at
SPIE Advanced Lithography 2018.
30
References
1. P. Yan et al., EUV mask absorber characterization and selection, Proc.
SPIE 4066, 116124 (2000).
2. A. Erdmann, P. Evanschitzky, and T. Fühner, Mask diffraction analysis
and optimization for EUV masks, Proc. SPIE 7271, 72711E (2009).
3. A. Rastegar et al., Study of alternative capping and absorber layers for
extreme ultraviolet (EUV) masks for sub-16nm half-pitch nodes, Proc.
SPIE 9048, 90480L (2014).
4. V. Philipsen et al., Reducing EUV mask 3D effects by alternative metal
absorbers, Proc. SPIE 10143, 1014310 (2017).
5. F. Scholze et al., Update on optical material properties for alternative
EUV mask absorber materials, Proc. SPIE 10446, 1044609 (2017).
6. B. Zhang et al., Quantitative tabletop coherent diffraction imaging
microscope for EUV lithography mask inspection, Proc. SPIE 9050,
90501D (2014).
7. P. Helfenstein et al., Scanning coherent diffractive imaging methods for
actinic extreme ultraviolet mask metrology, J. MicroNanolithogr.
MEMS, MOEMS 15(3), 034006 (2016).
Fig. 6 From left to right: reference and defect structures, defect maps, and thresholded defect map of
the samples fabricated with (a) HSQ, (b) TaBN, and (c) Ni as absorber materials.
Table 2 Defect sizes on the measured sample and SNR.
HSQ TaBN Ni
Defect size (nm
2
) 269 × 70 64 × 35 269 × 70
SNR 6.85 7.89 7.64
Thickness (nm) 140 70 60
J. Micro/Nanolith. MEMS MOEMS 013506-5 JanMar 2019
Vol. 18(1)
Fernandez et al.: Comparative study of extreme ultraviolet absorber materials. . .
Downloaded From: https://www.spiedigitallibrary.org/journals/Journal-of-Micro/Nanolithography,-MEMS,-and-MOEMS on 02 Sep 2019
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Citations
More filters
Journal ArticleDOI

Quantitative characterization of absorber and phase defects on EUV reticles using coherent diffraction imaging

TL;DR: In this article, the authors developed RESCAN, an API platform based on coherent diffraction imaging, which can detect absorber defects in random patterns and buried (phase) defects down to 50 nm.
Peer ReviewDOI

Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?

TL;DR: In this paper, the authors present a review of recent work on attenuated phase shift masks (attPSM) for extreme ultraviolet (EUV) lithography with special emphasis on modeling and fundamental understanding of the imaging characteristics of alternative absorber materials.
Proceedings ArticleDOI

EUV mask feature reconstruction via phase retrieval

TL;DR: A simulation study is performed to assess the performance of coherent diffractive imaging (CDI) and related phase retrieval methods for the reconstruction of non-trivially shaped and a–periodic nanostructures from far field intensity data.
Journal ArticleDOI

Characterisation of engineered defects in extreme ultraviolet mirror substrates using lab-scale extreme ultraviolet reflection ptychography.

TL;DR: In this article , the effect of surface distortion and roughness on EUV reflectivity is compared to topographic properties of the mirror defects measured using both atomic force microscopy and scanning transmission electron microscopy.
References
More filters
Journal ArticleDOI

X-Ray Interactions: Photoabsorption, Scattering, Transmission, and Reflection at E = 50-30,000 eV, Z = 1-92

TL;DR: In this article, the atomic scattering factors for all angles of coherent scattering and at the higher photon energies are obtained from these tabulated forward-scattering values by adding a simple angle-dependent form-factor correction.
Journal ArticleDOI

An improved ptychographical phase retrieval algorithm for diffractive imaging.

TL;DR: The PIE is extended so that the requirement for an accurate model of the illumination function is removed and the technique has been shown to be robust to detector noise and to converge considerably faster than support-based phase retrieval methods.
Journal ArticleDOI

Probe retrieval in ptychographic coherent diffractive imaging.

TL;DR: A new reconstruction procedure that retrieves both the specimen's image and the illumination profile was recently demonstrated with hard X-ray data and is presented in greater details to illustrate its practical applicability with a visible light dataset.
Journal ArticleDOI

Reconstructing state mixtures from diffraction measurements

TL;DR: A general analytic approach to the characterization of diffractive imaging systems that can be described as low-rank mixed states is provided and some of the most stringent experimental conditions in ptychography can be relaxed, and susceptibility to imaging artefacts is reduced.
Related Papers (5)
Frequently Asked Questions (7)
Q1. What have the authors contributed in "Comparative study of extreme ultraviolet absorber materials using lensless actinic imaging" ?

The authors demonstrate the potential of a mask inspection platform to evaluate the impact of different absorber materials on actinic defect inspection. The authors evaluate the performance of a reflective-mode EUV mask scanning microscope ( RESCAN ), their actinic lensless inspection tool, with three different absorber materials ( hydrogen silsesquioxane, TaBN, and Ni ). The authors study the effect of these materials on the image formation and compare the defect maps. 

Manufacturability of EUV photomasks with alternative absorber materials remains, nevertheless, a concern and needs further work. In this paper, the authors have shown that RESCAN is able to perform actinic inspection in any of the proposed materials, achieving high resolution and defect sensitivity and opening routes toward the study of other kinds of defects. Potentially, phase defects and shadowing effects at smaller pitches could be studied by taking advantage of the direct measurement of the phase. 

in the case of HSQ, the index of refraction depends on the development parameters after electron beam exposure, as density and composition mayvary. 

phase defects and shadowing effects at smaller pitches could be studied by taking advantage of the direct measurement of the phase. 

As previously mentioned, the absorber thickness has to be reduced as much as possible to minimize the proximity effects due to the oblique incident illumination. 

This algorithm uses the redundancy on the data, i.e., the common information in two diffraction patterns at consecutive positions of the scan to retrieve the experimentally missed phase. 

In previous works, the authors have demonstrated the capability of RESCAN to detect programmed defects as small as 50 nm on random patterns fabricated in-house.9