scispace - formally typeset
Search or ask a question

Showing papers on "Optical proximity correction published in 2022"


Proceedings ArticleDOI
12 Mar 2022
TL;DR: This work introduces an dual-band optics-inspired neural network design that considers the optical physics underlying lithography and yields the first published via/metal layer contour simulation at 1nm2/pixel resolution with any tile size.
Abstract: Lithography simulation is a critical step in VLSI design and optimization for manufacturability. Existing solutions for highly accurate lithography simulation with rigorous models are computationally expensive and slow, even when equipped with various approximation techniques. Recently, machine learning has provided alternative solutions for lithography simulation tasks such as coarse-grained edge placement error regression and complete contour prediction. However, the impact of these learning-based methods has been limited due to restrictive usage scenarios or low simulation accuracy. To tackle these concerns, we introduce an dual-band optics-inspired neural network design that considers the optical physics underlying lithography. To the best of our knowledge, our approach yields the first published via/metal layer contour simulation at 1nm2/pixel resolution with any tile size. Compared to previous machine learning based solutions, we demonstrate that our framework can be trained much faster and offers a significant improvement on efficiency and image quality with 20× smaller model size. We also achieve 85× simulation speedup over traditional lithography simulator with ~ 1% accuracy loss.

8 citations


Proceedings ArticleDOI
26 May 2022
TL;DR: In this paper , the impact of mask stack and mask tone on a random logic Via layer was evaluated and the optimum choice for this layer was presented based on current OPC simulations as well as some preliminary wafer data, where source mask optimization was performed with and without subresolution assist feature (SRAF) as a resolution enhancement technology (RET).
Abstract: Imec N3 logic design rules define a minimum via pitch of 36nm for a double patterning process. Enabling this pitch is crucial in terms of process time and number of masks involved. One method for extending 0.33 NA EUV is using advanced mask materials. Studies have shown that a low-n attenuated phase-shift mask (PSM) can improve EUV imaging performance, reduce mask 3D effects and improve optical contrast compared to the reference Ta-based mask. [1-3] In this paper, the impact of mask stack - Ta-based (binary or BIM) and low-n (PSM) - and mask tone - dark field (DF) vs. bright field (BF) - on a random logic Via layer will be evaluated. To pattern contact holes, we use negative tone development (NTD) metal-oxide resist process using the BF mask and positive tone development (PTD) chemically amplified resist process using the DF mask. Source mask optimization (SMO) was performed with and without subresolution assist feature (SRAF) as a resolution enhancement technology (RET). Optical proximity correction (OPC) was carried out on design clips using respective sources and mask rules at different mask tone. We show the optimum choice for this layer and present our recommendation based on current OPC simulations as well as some preliminary wafer data.

4 citations


Journal ArticleDOI
TL;DR: DAMO as discussed by the authors is an end-to-end mask optimization paradigm that contains a deep lithography simulator (DLS) for modeling and a deep mask generator (DMG) for mask pattern generation.
Abstract: Continuous scaling of the very-large-scale integration system leaves a significant challenge on manufacturing; thus optical proximity correction (OPC) is widely applied in conventional design flow for manufacturability optimization. Traditional techniques conduct OPC by leveraging a lithography model but may suffer from prohibitive computational overhead. In addition, most of them focus on optimizing a single and local clip instead of addressing how to tackle the full-chip scale. In this article, we present DAMO, a high-performance and scalable deep-learning-enabled OPC system for full-chip scale. It is an end-to-end mask optimization paradigm that contains a deep lithography simulator (DLS) for lithography modeling and a deep mask generator (DMG) for mask pattern generation. Moreover, a novel layout splitting algorithm customized for DAMO is proposed, composed of DBSCAN clustering and KMeans++ clustering, to handle the full-chip OPC problem. Further, graph-based computation and parallelism techniques are proposed to deploy our GPU algorithms to accelerate computations. Extensive experiments show that DAMO outperforms state-of-the-art OPC solutions in both academia and industrial commercial toolkit.

3 citations


Journal ArticleDOI
TL;DR: In this article , an improved thick-mask model with high precision is proposed by exploring the edge interference effect that appears in the diffraction near-field (DNF), which can significantly improve the simulation accuracy compared to the traditional filter-based method while retaining a high computation speed.
Abstract: The thick-mask model had been used to simulate the diffraction behavior of the three-dimensional photomask in optical lithography system. By exploring the edge interference effect that appears in the diffraction near-field (DNF), an improved thick-mask model with high precision is proposed. The diffraction transfer matrix (DTM) is introduced to represent the transformation from the layout pattern to the corresponding DNF. In this method, the DTM is learned from a training library including the rigorous DNF of some representative mask clips. Given a thick-mask pattern, it is firstly decomposed into a set of segments around the sampling points at corners and edges. Then, the local DNF of each segment is calculated based on the corresponding DTM. Finally, all the local DNF segments are synthesized together to simulate the entire thick-mask DNF. The results show that the proposed method can significantly improve the simulation accuracy compared to the traditional filter-based method, meanwhile retaining a high computation speed.

3 citations


Journal ArticleDOI
TL;DR: In this paper , the authors proposed an aberration prediction method based on the sparrow search algorithm (SSA), using the common indicators of the lithography process window, depth of focus, mask error enhancement factor, and image log slope as the objective function.
Abstract: Mass production can be planned by utilizing the multiple patterning technology of 193 nm immersion scanners at the 7 nm technology node. In deep ultraviolet lithography, imaging performance is significantly affected by distortions of projection optics. For 7 nm immersion lithography layer patterns, distortions of the projection optics must be tightly controlled. This paper proposes an optimization method to determine the distribution of Zernike aberration coefficients. First, we build aberration prediction models using the backpropagation (BP) neural network. Then, we propose an aberration optimization method based on the sparrow search algorithm (SSA), using the common indicators of the lithography process window, depth of focus, mask error enhancement factor, and image log slope as the objective function. Some sets of optimized aberration distributions are obtained using the SSA optimization method. Finally, we compare the results of the SSA optimization algorithm with those obtained by rigorous computational simulations. The aberration combination distribution optimized by the SSA method is much more significant than the value under the zero aberration (ideal conditions), a nonoptimal distribution in deep ultraviolet lithography image simulation. Furthermore, the results indicate that the aberration optimization method has a high prediction accuracy.

3 citations


Proceedings ArticleDOI
16 Sep 2022
TL;DR: In this article , a bright field EUV photomask with regular 1D line-space grid design and positive tone development (PTD) was used to detect SRAF printing on the surface or bottom of the photoresist.
Abstract: The common process window of EUV patterning is being limited when the 1-dimensional (1D) pitch shrinks to 32nm or below. There are many investigations and studies that propose an alternative EUV photomask absorber to mitigate photomask 3-dimensional (3D) topology effects and can partially mitigate the contrast fading effect and reduce through pitch best focus shift.1,2,3 Another method to counter photomask 3D effects, is sub-resolution assistant features (SRAFs). SRAF insertion is one possible way to create a dense optical environment, which will prevent strong best focus shift from semi-isolated to isolated features. However, the side effect of SRAF insertion is unwanted SRAF printing occurring on the surface or bottom of the photoresist.4 In order to predict the partial removal or small residues of photoresist after the lithographic development process, a flow of compact photoresist 3D modeling (R3D) in conjunction with stochastic modeling can be adopted. In this paper, a bright field EUV photomask with regular 1D line-space grid design and positive tone development (PTD) are considered. The SEM images of through pitch 1D structures with various sizes of SRAFs are collected. To quantify SRAF printing, pixel brightness is compared to resist-opened background area, the printing SRAF regions can then be identified and clustered. Compact resist stochastic modeling is also performed by line-width roughness (LWR) sampling and used to predict SRAFs printing pixels by using Average Printing Area (APA) method with R3D modeling.5 Therefore, not only severe SRAF printing events can be predicted well, but also the accurate prediction of SRAF printing with very low probabilities can also be achieved.

2 citations


Proceedings ArticleDOI
31 Oct 2022
TL;DR: In this article , a single EUV mask solution was proposed to pattern the bit-line-periphery (BLP) and the storage node landing pad (SNLP).
Abstract: As the industry continues to scale DRAM cell size, EUV lithography techniques have been considered in one or multiple steps. We have explored a single mask solution to pattern the bit-line-periphery (BLP) and the storage node landing pad (SNLP). Normally, for such varied types of structures as honeycomb arrays, SWD, S/A and Core, multiple masks are required. In this paper, we have explored a single EUV mask approach. First, a freeform EUV light source (in the source mask optimization, or SMO, process) was generated targeting a 36nm pitch honeycomb array and BLP structures. Then, curvilinear optical proximity correction (OPC) was applied to the target design (as shown in Figure 1) such that the performance meets qualified process window variation bands (PVBs) with proper curvilinear mask rule check (MRC). It is important to note that only an optical model was used for SMO and OPC without a resist model in this task. For the wafer process, we have used a dark field mask and metal oxide resist (MOR) photoresist and negative tone development (NTD). This was followed by transferring the pattern into a suitable hardmask for optical defect characterization using the KLA broadband plasma (BBP) 29xx tool as shown in Figure 2. Process window characterization was done to discover a unified defect-free window for both honeycomb array and BLP structures.

2 citations


Journal ArticleDOI
TL;DR: In this paper , an accurate model based on Hopkin's image formulation and fully convolutional networks (FCN) was used to control the critical dimension (CD) patterning of a near-infrared (NIR) metalens through a distributed optical proximity correction (OPC) flow using i-line photolithography.
Abstract: High pattern fidelity is paramount to the performance of metalenses and metasurfaces, but is difficult to achieve using economic photolithography technologies due to low resolutions and limited process windows of diverse subwavelength structures. These hurdles can be overcome by photomask sizing or reshaping, also known as optical proximity correction (OPC). However, the lithographic simulators critical to model-based OPC require precise calibration and have not yet been specifically developed for metasurface patterning. Here, we demonstrate an accurate lithographic model based on Hopkin's image formulation and fully convolutional networks (FCN) to control the critical dimension (CD) patterning of a near-infrared (NIR) metalens through a distributed OPC flow using i-line photolithography. The lithographic model achieves an average ΔCD/CD = 1.69% due to process variations. The model-based OPC successfully produces the 260 nm CD in a metalens layout, which corresponds to a lithographic constant k1 of 0.46 and is primarily limited by the resolution of the photoresist. Consequently, our fabricated NIR metalens with a diameter of 1.5 mm and numerical aperture (NA) of 0.45 achieves a measured focusing efficiency of 64%, which is close to the calculated value of 69% and among the highest reported values using i-line photolithography.

1 citations


Proceedings ArticleDOI
01 Nov 2022
TL;DR: In this article , the authors discuss the implementation of such an OPC flow, including rule-based correction, SRAF insertion, model based correction, and mask sign-off strategy.
Abstract: Some specific applications, such as optical devices, require non-conventional layouts. In this context, the known OPC solutions developed during decades and optimized for CMOS planar applications are facing significant challenges. Standard design files format as well as OPC algorithms are indeed suitable for 0-45-90° edges (also called Manhattan layouts) and other angle edges can lead to bad OPC results, huge run time, large file size, and even run crashes. While innovative developments are on going from OPC suppliers’ side, we have to use smartly the conventional OPC platforms to achieve accurate, fast and cost-effective solutions. Taking the example of optical diffusers application, we will discuss the implementation of such an OPC flow, including rule-based correction, SRAF insertion, model-based correction, and mask sign-off strategy.

1 citations


Proceedings ArticleDOI
26 May 2022
TL;DR: This work develops a prototype CNN which reproduces critical dimensions and edge placement errors of line and space patterns in EM simulations in a small mask area and applies data augmentation technique to reduce the data preparation time by a factor of 200.
Abstract: Data augmentation is a powerful technique in deep learning to increase the number of training data by using limited original data. We apply this technique to EUV lithography simulation based on convolutional neural network (CNN). In previous work, we developed a prototype CNN which reproduces the results of the rigorous electromagnetic (EM) simulations in a small mask area. The prediction time of CNN was 5,000 times faster than the calculation time of EM simulation. We trained the CNN by using 200,000 data which were the results of EM simulation. Although the prediction time of CNN was very short, it took a long time to build a huge amount of the training data. Especially when we enlarge the mask area the calculation time to prepare the training data becomes unacceptably long. The EM calculation time for 1,024 nm X 1,024 nm mask area takes 162 s. It will take a year to calculate 200,000 mask patterns. The training data of our CNN is the diffraction amplitudes of mask patterns. Assuming a periodic boundary condition, the diffraction amplitudes of the shifted or flipped mask pattern can be easily calculated by using the diffraction amplitudes of the original mask pattern. We apply this data augmentation technique to reduce the data preparation time for 1,024 nm X 1,024 nm mask area by a factor of 200. The accuracy of CNN is verified by comparing the CNN predictions with the results of EM simulation. Our CNN successfully reproduces critical dimensions and edge placement errors of line and space patterns.

1 citations


Proceedings ArticleDOI
29 Oct 2022
TL;DR: Wang et al. as discussed by the authors proposed a self-adaptive OPC framework to improve efficiency by choosing different OPC solvers adaptively for patterns of different complexity from an extensible solver pool.
Abstract: Optical proximity correction (OPC) is a widely-used resolution enhancement technique (RET) for printability optimization. Recently, rigorous numerical optimization and fast machine learning are the research focus of OPC in both academia and industry, each of which complements the other in terms of robustness or efficiency. We inspect the pattern distribution on a design layer and find that different sub-regions have different pattern complexity. Besides, we also find that many patterns repetitively appear in the design layout, and these patterns may possibly share optimized masks. We exploit these properties and propose a self-adaptive OPC framework to improve efficiency. Firstly we choose different OPC solvers adaptively for patterns of different complexity from an extensible solver pool to reach a speed/accuracy co-optimization. Apart from that, we prove the feasibility of reusing optimized masks for repeated patterns and hence, build a graph-based dynamic pattern library reusing stored masks to further speed up the OPC flow. Experimental results show that our framework achieves substantial improvement in both performance and efficiency.

Proceedings ArticleDOI
26 May 2022
TL;DR: Experimental results show that proposed MeeF prediction is 3.7 times faster than exact MEEF calculation, thus matrix-OPC with predicted MEEFs is 30% faster than matrix- OpC with exact MeeFs.
Abstract: Matrix-OPC is used to mathematically derive mask bias using mask error enhancement factor (MEEF) matrix. Since MEEF denotes the edge placement error (EPE) change of segment induced by unit mask bias of its neighbor segment, exact MEEF calculation requires lithography simulation before and after perturbing neighbor segments. Therefore, MEEF calculation is a computationally expensive process, which leads to matrix-OPC being applied to only some critical regions in a layout. We propose fast MEEF prediction using an artificial neural network (ANN). MEEF represents the effect of one segment on another, so polar Fourier transform signals extracted from both segments are used as input of ANN. Also, the distance between two segments and the direction of each segment is used as input of ANN. Predicted MEEFs are used to construct MEEF matrices and matrix-OPC is used to derive mask biases. Experimental results show that proposed MEEF prediction is 3.7 times faster than exact MEEF calculation, thus matrix-OPC with predicted MEEFs is 30% faster than matrix-OPC with exact MEEFs.

Proceedings ArticleDOI
13 Jun 2022
TL;DR: In this article , the authors leverage the pxOPC and introduce a fast full-chip curvilinear ILT mask generation flow with Mentor Graphics Calibre SONR, a Machine Learning (ML) method.
Abstract: As an advanced form of optical proximity correction (OPC), Inverse Lithography Technology (ILT) calculates the desired shapes on a photomask in free-form curvilinear types. It has become one of the key computational lithography solutions for advanced technology nodes, which may enable the most challenging features in IC designs and produce mask output that results in better process latitude and CD control on wafer than the one using conventional OPC [1]. Although curvilinear ILT technology has taken root in limited cases such as in hot spot repairment and in memory [2], it still experiences difficulties to be adopted as a full-chip solution due to its long computation time and consistency issues. In this paper, we will leverage Siemens pxOPC and introduce a fast full-chip curvilinear ILT mask generation flow with Mentor Graphics Calibre SONR, a Machine Learning (ML) method [3]. Siemens pxOPC TM is pixel-based optimization product designed to maximize lithography quality in terms of EPE, Common Process Window, ILS/NILS, MEEF, etc. It uses image gradient to indicate the mask movement and minimize the gradient magnitude to achieve the final mask. Calibre SONR is a product built upon Mentor’s Machine Learning Platform (MLP) combining multiple related applications under one license. It uses feature vectors which are shown to correlate well with design and fab printing behavior. SONR implements fuzzy Pattern Matching (PM) in feature vector space, which is different from traditional PM (either exact or fuzzy) in topological space. For the case of pattern reduction, traditional fuzzy PM selects patterns which are close geometrically to a reference pattern, while SONR make further pattern reduction without loss in pattern coverage since different topological patterns may share similar feature vectors. In this paper, we build a flow to use SONR to analyze patterns across the full chip and make corresponding selections for the final pxOPC solutions. With comparable wafer performance, our preliminary results show that the flow may exceed the existing best practice in terms of runtime reduction, enhanced full-chip consistency, and robust full-chip run. Figure 1 shows that the run time is improved by 60%. This paper is organized as follows. pxOPC is introduced in Section I. Our proposed SONR pattern reduction flow is described in Section II, followed by the fast full-chip curvilinear mask generation flow in Section III. Then Section IV provides simulation results and discussion in Section IV. Section V conclusions the paper. Keyword: Curvilinear, ILT, pxOPC, mask, machine learning, pattern selection, layout reduction REFERENCES [1] Le Hong, Fan Jiang, Alexander Tritchkov, James Word, Dan Zhang, “Inverse Lithography Recipe Optimization Using Genetic Algorithm”, SPIE 105871H (2018). [2] Linyong (Leo) Pang, P. Jeffrey Ungar, Ali Bouaricha , Lu Sha, Michael Pomerantsev, Mariusz Niewczas, Kechang Wang, Bo Su, Ryan Pearman, and Aki Fujimura "TrueMask ILT MWCO: full-chip curvilinear ILT in a day and full mask multi-beam and VSB writing in 12 hrs for 193i", Proc. SPIE 11327, Optical Microlithography XXXIII, 113270K (31 March 2020) [3] Yuansheng Ma, Le Hong, James Word, Fan Jiang, Vlad Liubich, Liang Cao, Srividya Jayaram, Doohwan Kwak, YoungChang Kim, Germain Fenger, Ananthan Raghunathan, Joerg Mellmann, “Reduction of Systematic Defects through Machine Learning from Design to Fab “, SPIE 11329-9 (2020)

Proceedings ArticleDOI
16 Sep 2022
TL;DR: In this article , a D2DB system for massive measurement of photomask pattern has been developed using the technology cultivated for evaluation of printed pattern on wafer, and the obtained massive data is effectively classified by utilizing layout data and quantitative analysis of statistical photOMask pattern fidelity is realized.
Abstract: Photomask pattern has been traditionally evaluated with limited gauges with 1D cut-line based measurement method on SEM (Scanning Electron Microscopy) image. After the advent of EUV lithography and multi-beam mask writer for curvilinear design, the demand of controlling pattern dimension with higher precision grows in the photomask fabrication. In order to achieve better dimension control, advanced MPC (Mask Process Correction) is applied to the photomask fabrication, and the calibration of the mask process model for the correction is essential technique. For this model calibration, it is necessary to evaluate the pattern dimension not only in limited points but also in a variety of photomask patterns including 1D pattern and 2D pattern such as corner, tip and small jogs etc. After applying MPC, monitoring critical pattern with massive sampling points is also expected to maintain stable photomask fabrication. D2DB (Die to Database) is effective method to evaluate various patterns by comparing SEM images and its layout data which can be used to define POIs (Point of Interests) and to select measurement method automatically, and it realizes massive measurement. D2DB has been widely used to collect massive pattern data printed on wafer. These data are useful to correct OPC (Optical Proximity Correction), optimize wafer process condition, and monitor hotspot, and has made a significant contribution for faster ramp up and yield enhancement. Although the application of off-line D2DB to photomask pattern has been reported, D2DB has a high computational cost, so further performance improvement in terms of throughput is necessary for the evaluation of photomask pattern with higher precision which will be required in near future. In this study, D2DB system for massive measurement of photomask pattern has been developed using the technology cultivated for evaluation of printed pattern on wafer. Images are acquired by CD-SEM and aligned to the layout data. POIs and measurement method are automatically determined and selected by analyzing layout data, and the measurement is distributed among multiple processing servers and performed inline. The obtained massive data is effectively classified by utilizing layout data and quantitative analysis of statistical photomask pattern fidelity is realized. This system enables much faster TAT from measurement to analysis compared to conventional method. Furthermore, the expected effect of consistent analysis through semiconductor manufacturing which is achieved by applying the same D2DB to photomask pattern and printed pattern on wafer is discussed.

Proceedings ArticleDOI
10 Nov 2022
TL;DR: In this paper , a comprehensive blank defect avoidance solution is presented to substantially mitigate the risk of printing blank defects. But the most concerning defects are those that originate from the blank substrate or multilayer deposition process and are not easily repaired or compensated for.
Abstract: Mask defectivity continues to be a critical challenge to full industrialization of extreme ultraviolet (EUV) lithography. The most concerning defects are those that originate from the blank substrate or multilayer deposition process and are not easily repaired or compensated for. These can best be avoided by hiding them underneath the unexposed absorber regions of the reticle layout. In this paper, we present a comprehensive blank defect avoidance solution that substantially mitigates the risk of printing blank defects. In the first step of this solution, we apply an automatic defect classification to all available blank inspections, categorizing defects into various critical and noncritical bins. In the second step, we register these defects to very high accuracy using a mask registration tool. In the final step, we use a fast polygon-based nonlinear optimization algorithm that outputs the best possible placement of all critical defects so that they are located under the absorber patterns. It does so by optimizing the global mask pattern shift and rotation and accounts for uncertainty in defect positioning and E-beam writing. After the optimal reticle shift and rotation are computed, they are verified by simulating possible wafer print impact. An overall impact score is computed for that specific combination of blank and pattern file and done so for all available blanks in the unused blank database. The E-beam writer operator can then select the blank with the lowest impact score or least risk of printing. Integrated within the KLA RDC and KlearView™ systems, this comprehensive extreme ultraviolet (EUV) blank defect avoidance solution has been validated in pilot production. By maximizing entitlement of EUV blanks across various grade levels, this solution has helped reduce costs and improve yields.

Journal ArticleDOI
23 Nov 2022
TL;DR: Wang et al. as mentioned in this paper proposed a pixelated mask synthesis method utilizing deep learning techniques, to generate after-development-inspection (ADI) contour and mask feature generation.
Abstract: BackgroundAlgorithmic breakthroughs in machine learning (ML) have allowed increasingly more applications developed for computational lithography, gradually shifting focus from hotspot detection to inverse lithography and optical proximity correction (OPC). We proposed a pixelated mask synthesis method utilizing deep-learning techniques, to generate after-development-inspection (ADI) contour and mask feature generation.AimConventional OPC correction consists of two parts, the simulation model which predicts the expected contour signal, and the correction script that modifies the actual layout. With practicality in mind, we collected modeling wafer data from scratch, then implemented ML models to reproduce conventional OPC actions, mask to contour prediction, and design to mask correction.ApproachTwo generative adversarial networks (GANs) were constructed, a pix2pix model was first trained to learn the correspondences between mask image and paired ADI contour image collected on wafer. The second model is embedded into machine learning mask correction (ML-OPC) framework, output mask is optimized through minimizing pixel difference between design target and simulated contour.ResultsTwo different magnification SEM image datasets were collected and studied, with the higher magnification showing better simulator pixel accuracy. Supervised training of the correction model provided a quick prototype mask synthesis generator, and combination of unsupervised training allowed mask pattern synthetization from any given design layout.ConclusionsThe experimental results demonstrated that our ML-OPC framework was able to mimic conventional OPC model in producing exquisite mask patterns and contours. This ML-OPC framework could be implemented across full chip layout.

Proceedings ArticleDOI
16 Sep 2022
TL;DR: In this article , a new metrology flow was developed to assess and quantify mask errors, relying on mask SEM image contour extraction and Mask Edge Placement Error (MEPE) evaluations.
Abstract: Recent developments in multi-beam mask writers (MBMW)1 enable the manufacturing of curvilinear masks. This promises higher patterning accuracy on wafer. The increased patterning flexibility takes us into new territories for mask manufacturing accuracy, precision and Mask Rule Check (MRC). MBMW allows Optical Proximity Correction (OPC) to venture into Inverse Lithography Technology (ILT) solutions, enabling accurate curvilinear masks. New challenges are also encountered when building OPC models that target ILT masks, such as on wafer metrology and pattern coverage. This exploration will be the focus of this study, in particular the MRC and mask characterization aspects, leveraging a new curvilinear reticle available at imec. As a result of its fully curvilinear nature, conventional mask metrology – solely based on cutline CDs – is no longer entirely adequate. Therefore, a new metrology flow must be developed to assess and quantify mask errors, relying on mask SEM image contour extraction and Mask Edge Placement Error (MEPE) evaluations. Our proposed flow has three steps: -Mask CDSEM images are loaded into a software, which enables an accurate contour extraction and alignment to the original design. The output of this exercise is a design file with open contours. - The output design file is converted into polygons (i.e., defining inside/outside polygons areas) by utilizing the original design as a guide. This allows for full compatibility with the next stage. -Lastly, a highly-sampled MEPE is determined for all polygons, both from the main and assisting features. The result is then analyzed offline. Ultimately, this curvilinear mask data analysis sequence was used on several subsets of the mask metrology dataset. In order to validate the approach, we reproduced the CD based metrology provided by the mask shop. We then expanded our scope to parametric curvilinear features, to find correlators (i.e. local design properties) to the observed mask error observed (e.g., polygon local curvature or density). Finally, ILT clips were used to verify the determined correlators.

Journal ArticleDOI
TL;DR: Kwon et al. as mentioned in this paper applied recurrent neural networks to optical proximity correction for lithographic processing for integrated circuits, which has been mainly applied to time series data, but can be effectively applied to spatial data.
Abstract: The IEEE Transactions on Semiconductor Manufacturing congratulates Yonghwi Kwon and Youngsoo Shin whose paper Optical Proximity Correction Using Bidirectional Recurrent Neural Network With Attention Mechanism was selected as the Best paper for 2021. The paper was selected from all the papers that appeared in 2021 by a team of Associate Editors. This paper applied recurrent neural networks to optical proximity correction for lithographic processing for integrated circuits. The challenge in determining a correction value comes from correlation: correction of one segment affects the correction value of other segments due to the optical proximity effect. This paper broke new ground by showing that Recurrent Neural Networks, which has been mainly applied to time series data, can be effectively applied to spatial data. Clearly, Machine Learning is rapidly moving from R&D into full flow manufacturing where the interplay between each aspect of a single process step has exponential increased in complexity requiring new approaches. Three additional papers were recognized with an Honorable Mention:

Proceedings ArticleDOI
26 May 2022
TL;DR: In this article , a 2 mm * 2 mm pillar array with a pitch divided by two, covering a wide surface on a 300 mm wafer and answering overlay and stitching requirements is presented.
Abstract: Densification and reduction of lithographic features sizes keeping low defectivity is one of the biggest challenges in the patterning area. In order to extend 193 immersion capabilities and meet advanced applications needs, multi exposure image mode is a promising option for non-high volume manufacturing. It allows from a unique pattern with a fixed critical dimension (CD) and pitch, to obtain more dense patterns in a large surface without any process loop of standard flow, a huge benefit compared to litho-etch-litho-etch (LELE) approach. The study carried out explores this method with a specific design of pillars array printed using Negative Tone Development (NTD). The multi-image option relies on exposing multiple times the same initial pattern with a low image-to-image overlay. Based on intrinsic scanner performances, imageto-image placement error should be less than two nm. In this paper, many functionalities are explored to customize patterns from a single and unique mask design. One stake is to transfer (into silicon) a 2 mm * 2 mm pillar array design with a pitch divided by two, covering a wide surface on a 300 mm wafer and answering overlay and stitching requirements. Final results give well defined pillars which intra-wafer CD uniformity (3σ) satisfies application process requests. By using a flexible multi-image mode, mask constraints (cost and quality) can be relaxed, i.e. with a larger pitch structure on the reticle than the targeted one, final feature can be achieved. This development can be extended to hybrid lithography such as NanoImprint Lithography (NIL) or specific applications such as optics.

Journal ArticleDOI
TL;DR: In this article , optical proximity correction (OPC) has been applied in designing backplane layout of displays to achieve better resolution of lower feature size of the lithography process in display manufacturing with wider process window.
Abstract: In this paper, lithographic simulation tools, including Optical Proximity Correction (OPC), have been applied in designing backplane layout of displays. That will lead to better resolution of lower feature size of the lithography process in display manufacturing with wider process window.

Proceedings ArticleDOI
13 Jun 2022
TL;DR: In this paper , a neural network-based resist model was used for optical proximity correction in photolithography process using Tensor Flow machine learning platform, and the results showed improved accuracy through dose and focus process conditions and verify model accuracy with physical hardware data.
Abstract: In photolithography, we need accurate models as computation engine for optical proximity correction (OPC). Traditional OPC modeling consists of a series of components for photo mask, optical exposure system, and resist materials. These models are trained using compact model forms based on wafer-level critical dimension (CD) or edge placement error (EPE) measurements. In recent years, advancements in neural networks and machine learning have had significant advancements. In this work, we evaluated advanced neural network-based resist models on a Tensor Flow machine learning platform. This work describes resist and optical response of machine learning (ML) model through process window to achieve improved model representation of lithography process. Using ML OPC vias mask as an example, we will show improved accuracy through dose and focus process conditions and verify model accuracy with physical hardware data. Also, we will compare multiple neural network-based modeling approaches, investigate the ML models’ impacts on OPC correction and verification recipes, and dataprep runtime. The machine learning based OPC with ML model and best practice will be implemented in cloud production environment.

Proceedings ArticleDOI
26 May 2022
TL;DR: An efficient OPC model gauge sampling flow is proposed using machine learning methods through data preprocessing, feature transformation and clustering to divide sample data into clusters and select a small amount of representative sample data from them to calibrates the model, achieving the same effect as using all data to calibrate.
Abstract: Optical Proximity Correction (OPC) is one of the most important techniques in improving the resolution and pattern fidelity of optical lithography in the semiconductor industry. As the feature sizes and the process margin in nanometer technology become smaller, OPC models also need to be more accurate. However, improving the model accuracy often requires collecting more SEM data, which in turn results in a longer time for the entire flow. Therefore, an efficient method that can improve the accuracy of the OPC model while reducing the data collection time is crucial. Furthermore, machine learning has recently been applied to the lithography optimizations with some success, so it will be a useful technique to further optimize gauge sampling. This paper proposes an efficient OPC model gauge sampling flow using machine learning methods through data preprocessing, feature transformation and clustering to divide sample data into clusters and select a small amount of representative sample data from them to calibrate the model, achieving the same effect as using all data to calibrate. In order to test and verify the proposed approach, we use various types of patterns including line-space, contact hole, etc., to verify our results. By optimizing the gauge sampling flow, we can reduce the gauge requirements and modeling run time without sacrificing the accuracy and stability of the model.

Proceedings ArticleDOI
21 Oct 2022
TL;DR: In this article , the relationship between process window and post OPC SRAF placement was investigated, where the OPC model is optical model and resist model of synopsys, and use slitho as simulation tool to get process window data.
Abstract: RET such as OPC, Sub-resolution assist features (SRAF), is used for improve process window, especially for semi-dense and isolated patterns. Traditional studies about SRAF is always around target main pattern and SRAF, but OPC can change the shape of target pattern, so this paper is investigated the relationship between process window and post OPC SRAF placement. The OPC model is optical model and resist model of synopsys, and use slitho as simulation tool to get process window data.

Posted ContentDOI
27 Oct 2022
TL;DR: Li et al. as mentioned in this paper proposed a litho-aware data augmentation (LADA) framework to resolve the dilemma of limited data and improve the machine learning model performance, which can successfully exploit the neural network capacity by narrowing the performance gap between the training and testing data instances.
Abstract: Lithography modeling is a crucial problem in chip design to ensure a chip design mask is manufacturable. It requires rigorous simulations of optical and chemical models that are computationally expensive. Recent developments in machine learning have provided alternative solutions in replacing the time-consuming lithography simulations with deep neural networks. However, the considerable accuracy drop still impedes its industrial adoption. Most importantly, the quality and quantity of the training dataset directly affect the model performance. To tackle this problem, we propose a litho-aware data augmentation (LADA) framework to resolve the dilemma of limited data and improve the machine learning model performance. First, we pretrain the neural networks for lithography modeling and a gradient-friendly StyleGAN2 generator. We then perform adversarial active sampling to generate informative and synthetic in-distribution mask designs. These synthetic mask images will augment the original limited training dataset used to finetune the lithography model for improved performance. Experimental results demonstrate that LADA can successfully exploits the neural network capacity by narrowing down the performance gap between the training and testing data instances.

Proceedings ArticleDOI
16 Sep 2022
TL;DR: Synopsys as mentioned in this paper is a modeling tool that goes beyond the traditional metrology CD-based methodology by relying on the information along the entire edge of the mask shapes, which is complemented with an advanced regression step that provides an additional level of optimization of the model parameters and can substantially improve its prediction accuracy.
Abstract: With the use of Inverse Lithography techniques (ILT) becoming more relevant for advanced mask lithography, layouts that rely heavily on curvilinear patterns are increasingly more prevalent. Aside from the substantial increase in data volume, the error budgets in the manufacturing of the smallest printed features have decreased considerably and proximity effects once relegated to noise are now in need of careful correction. The correction of these curvilinear masks must be preceded a mask model and, therefore, it is key to procure a fast and accurate method for predicting the mask distortions. In order to meet this challenge, Synopsys has drawn from its related experience in the OPC space to offer a modeling tool that goes beyond the traditional metrology CD-based methodology by relying on the information along the entire edge of the mask shapes. This contour-based approach is complemented with an Advanced Regression step that provides an additional level of optimization of the model parameters and can substantially improve its prediction accuracy. The result is a practical and precise mask modeling tool with a high level of automation. This paper demonstrates the capabilities of this mask modeling solution to a sample of curvilinear and advanced Manhattan layouts.

Proceedings ArticleDOI

[...]

30 Oct 2022
TL;DR: Wang et al. as discussed by the authors proposed a self-adaptive OPC framework to improve efficiency by choosing different OPC solvers adaptively for patterns of different complexity from an extensible solver pool.
Abstract: Optical proximity correction (OPC) is a widely-used resolution enhancement technique (RET) for printability optimization. Recently, rigorous numerical optimization and fast machine learning are the research focus of OPC in both academia and industry, each of which complements the other in terms of robustness or efficiency. We inspect the pattern distribution on a design layer and find that different sub-regions have different pattern complexity. Besides, we also find that many patterns repetitively appear in the design layout, and these patterns may possibly share optimized masks. We exploit these properties and propose a self-adaptive OPC framework to improve efficiency. Firstly we choose different OPC solvers adaptively for patterns of different complexity from an extensible solver pool to reach a speed/accuracy co-optimization. Apart from that, we prove the feasibility of reusing optimized masks for repeated patterns and hence, build a graph-based dynamic pattern library reusing stored masks to further speed up the OPC flow. Experimental results show that our framework achieves substantial improvement in both performance and efficiency.


Proceedings ArticleDOI
26 May 2022
TL;DR: In this paper , the Tachyon KrF MFI source mask optimization (SMO) was used to match the DE/DF and MFI sidewall profiles through process window conditions at the center slit.
Abstract: Advancing technology nodes in CMOS Image Sensors (CIS) continues to drive a shrinking process to acquire higher resolution and low power consumption as well as more cost-effective production. With the sensor pixel size scaling down, a thicker photoresist (with aspect ratios greater than 10:1) is introduced to block high-energy implants with extremely localized implant profiles. Then double exposures/double focus (DE/DF) is applied to make sure the resist profile and process window is comparable or better. However, this process is a big challenge at high volume manufacturing (HVM) phase because of throughput loss. To recover it due to DE/DF, we invented SE MFI which uses two wavelengths (“colors”) generated by the KrF excimer laser to solve the problem. Due to the chromatic aberrations in the lens, the focal plane shift of different wavelength produces nearly the same result as DE/DF. However, the use of two-wavelengths brings some challenges. The first is the loss of image contrast and the second is the impact of chromatic aberrations across the slit which results in image shift and image asymmetry. In this work, we demonstrated that the use of ASML’s Tachyon KrF MFI source mask optimization (SMO) that can match the MFI SE process to DE/DF process of record (POR). We first used Tachyon Focus-Exposure Modeling plus (FEM+) to calibrate a DE resist model by using DE POR wafer data. Then we converted the DE model to a SE MFI model. At the end, we use the Tachyon MFI-SMO to optimize the SE MFI to match the DE/DF and MFI sidewall profiles through process window conditions at the center slit. We achieved making the MFI and DE/DF sidewall difference significantly smaller than other noises which can be measured on wafer at the center slit. We evaluated the chromatic aberration impact on through slit sidewall profiles also meet the specification. The through slit matching between MFI and DE/DF was further improved by through-slit mask optimization. This is done by inserting asymmetry sub resolution assist features (SRAFs). Tachyon Optical Proximity Correction plus (OPC+) can support full chip mask corrections for full-chip HVM. The above MFI technology including Tachyon optimization capability will be verified by wafer exposure via comparison between MFI and DE wafer results.

Proceedings ArticleDOI
26 May 2022
TL;DR: Compared to the Abbe’s source formulation, the proposed algorithm provides a simpler, faster and accurate source representation, which is believed to be beneficial to the source mask optimization.
Abstract: Source Mask Optimization (SMO), which enables complex design geometries and improves lithography process window, is a key technology utilized in our hard disk drive (HDD) manufacturing. In this co-optimization technique, mask optimization has been extensively explored and well established in our community. However, source optimization of equal importance receives less attention even with a long history of development since 90s. In the source optimization, the light intensity from a source is generally perceived as a linear addition of intensity from each point source based on Abbe’s method. The drawback on this common approach is that the computation is intensive and timeconsuming as it involves the convolution between the pupil function modulated by each point source and the input mask. Here we present an algorithm of calculating transmission cross coefficients (TCC) for each point source in an illumination source. By following the Hopkins’s approach, the source TCC matrix can be interpreted as the summation of individual TCCs of point sources. Compared to the Abbe’s source formulation, the proposed algorithm provides a simpler, faster and accurate source representation, which is believed to be beneficial to the source mask optimization.

Posted ContentDOI
12 Mar 2022
TL;DR: In this paper , dual-band optics-inspired neural network is proposed to simulate the optical physics underlying lithography, which yields the first via/metal layer contour simulation at 1nm^2/pixel resolution with any tile size.
Abstract: Lithography simulation is a critical step in VLSI design and optimization for manufacturability. Existing solutions for highly accurate lithography simulation with rigorous models are computationally expensive and slow, even when equipped with various approximation techniques. Recently, machine learning has provided alternative solutions for lithography simulation tasks such as coarse-grained edge placement error regression and complete contour prediction. However, the impact of these learning-based methods has been limited due to restrictive usage scenarios or low simulation accuracy. To tackle these concerns, we introduce an dual-band optics-inspired neural network design that considers the optical physics underlying lithography. To the best of our knowledge, our approach yields the first published via/metal layer contour simulation at 1nm^2/pixel resolution with any tile size. Compared to previous machine learning based solutions, we demonstrate that our framework can be trained much faster and offers a significant improvement on efficiency and image quality with 20X smaller model size. We also achieve 85X simulation speedup over traditional lithography simulator with 1% accuracy loss.