scispace - formally typeset
Search or ask a question

Showing papers by "Daniel P. Sanders published in 2013"


Journal ArticleDOI
TL;DR: The preparation of poly(MTC-OC6F5) provides a means of accessing a wide range of functional polycarbonates with minimal synthetic steps and provides a simple and versatile platform for the synthesis of new and innovative materials.
Abstract: Functionalized polycarbonates were synthesized by organocatalytic ring-opening polymerization (ROP) of a cyclic monomer with a pendant activated ester (MTC-OC6F5) followed by a postpolymerization modification with both small molecules and macromolecules. Controlled ROP to form homopolymers and diblock copolymers was realized using catalytic quantities of triflic acid. For the homopolymers, a linear relationship between (M)0/(I)0 and molecular weight (by GPC) demonstrated the living nature of the polymerization. Poly(MTC-OC6F5) was functionalized under mild reaction conditions with a variety of amines to obtain polymers with pendant primary, secondary, and tertiary amides. Graft polymers with a high grafting density of over 87% were synthesized using amine-terminated poly(ethylene glycol) of two different molecular weights (2 and 5 kDa). The preparation of poly(MTC-OC6F5) provides a means of accessing a wide range of functional polycarbonates with minimal synthetic steps. This new methodology for the formation of functionalized polycarbonates provides a simple and versatile platform for the synthesis of new and innovative materials.

71 citations


Patent
Joy Cheng1, Daniel P. Sanders1, Melia Tjio1
15 Mar 2013
TL;DR: In this article, the authors proposed a method to reduce the number of defects in a directed self-assembled structure formed on a guiding pre-pattern (e.g., a chemical pre-Pattern) on a substrate.
Abstract: Methods are disclosed for reducing the number of defects in a directed self-assembled structure formed on a guiding pre-pattern (e.g., a chemical pre-pattern) on a substrate. A first layer comprising a first self-assembly material is applied onto the guiding pre-pattern, with the first self-assembly material forming domains whose alignment and orientation are directed by the guiding pre-pattern; as a result, a first self-assembled structure is formed. The first self-assembled structure is washed away, and a second layer comprising a second self-assembly material is then applied. The second self-assembly material forms a second self-assembled structure having fewer defects than the first self-assembled structure.

38 citations


Journal ArticleDOI
22 Jan 2013-ACS Nano
TL;DR: Measurements of DSA placement error for lamellar block copolymer domains indexed to specific lines in the surface chemical prepattern for spatial frequency tripling and quadrupling are reported.
Abstract: The realization of viable designs for circuit patterns using the dense features formed by block copolymer directed self-assembly (DSA) will require a precise and quantitative understanding of self-...

36 citations


Proceedings ArticleDOI
TL;DR: In this article, a self-aligned approach is presented to customize line-space patterns fabricated through chemical epitaxy, which enables the fabrication of high-resolution circuit-relevant patterns with fewer trim/exposure steps.
Abstract: Pattern customization is a necessary requirement to achieve circuit-relevant patterns using block copolymer directed self-assembly (DSA), but the edge-placement error associated with customization steps after DSA is anticipated to be at the scale of the pattern features, particularly as a result of overlay error. Here we present a new self-aligned approach to the customization of line-space patterns fabricated through chemical epitaxy. A partially inorganic chemical pattern contains a prepattern with pinning lines and non-guiding “blockout” features to which the block copolymer domains are aligned. Pattern transfer results in a line-space pattern with self-aligned customizations directly determined by the prepattern. In the transferred pattern, pinning lines determine the placement of single-line gaps while blockout features determine the placement and size of perpendicular trim across lines. By using designed two-dimensional chemical patterns, this self-aligned, bidirectional customization scheme enables the fabrication of high-resolution circuit-relevant patterns with fewer trim/exposure steps.

15 citations


Journal ArticleDOI
TL;DR: In this article, a study on the optimization of etch transfer processes using 200mm-scale production type plasma etch tools for circuit relevant patterning in the sub-30nm pitch regime using directed self-assembly (DSA) line-space patterning is presented.
Abstract: A study on the optimization of etch transfer processes using 200-mm-scale production type plasma etch tools for circuit relevant patterning in the sub-30-nm pitch regime using directed self-assembly (DSA) line–space patterning is presented. This work focuses on etch stack selection and process tuning, such as plasma power, chuck temperature, and end point strategy, to improve critical dimension control, pattern fidelity, and process window. Results from DSA patterning of gate structures featuring a high-k dielectric, a metal nitride and poly Si gate electrode, and a SiN capping layer are also presented. These results further establish the viability of DSA pattern generation as a potential method for Complementary metal–oxide–semiconductor (CMOS) integrated circuit patterning beyond the 10-nm node.

11 citations


Journal ArticleDOI
TL;DR: In this article, the SIT process was used to fabricate grating-like features with a minimum full pitch of 40nm, which can be used to customize the grating pattern into a circuit pattern provided it obeys certain design rule restrictions determined by the sIT process.
Abstract: Field effect transistors (FETs) composed of discrete silicon (Si) active regions or ‘fins,’ referred to as finFETs, have superior electrostatic properties compared to planar devices (see Figure 1).1 As a result, they are likely to play a role in further miniaturization of electronic devices.2 However, density requirements for fin patterning have exceeded what can be achieved through direct printing by 193nm immersion (193i) lithography. Consequently, sublithographic patterning techniques that can extend the resolution of 193i to the sub-80nm pitch regime (i.e., where the distance between identical features is less than 80nm) are now of vital importance. Sidewall image transfer (SIT) is now commonly used to manufacture 22nm-node finFET-based technology (meaning the transistor is 22nm across).2 The SIT process doubles the spatial frequency of a template or mandrel shape printed by a conventional lithography through a sequential deposition and etch process. This forms a feature along the sidewalls of the template shape similar to an offset spacer in a CMOS transistor. By removing the template shape selectively, the remaining sidewall features can be used for further pattern transfer. Coupled with 193i, SIT can be used to fabricate grating-like features with a minimum full pitch of 40nm. A further lithography step can be used to customize the grating pattern into a circuit pattern provided it obeys certain design rule restrictions determined by the SIT process. Extending SIT to the sub-40nm pitch regime requires us to reduce the template pitch to less than 80nm. This can be achieved using multiple interleaved 193i exposures or a higher resolution lithography, such as extreme ultraviolet or electron beam lithography. Another option is to perform a further iteration of the SIT process, resulting in a tripling or quadrupling of pitch. While these approaches can all lead to finer pitch patterning, Figure 1. A 3D schematic of a finFET device. Because the gate wraps around the channel region between source and drain of a finFET, the same level of gate control can be achieved with a shorter gate length. Dfin: Fin width. Hfin: Fin height. Lg: Gate length.

7 citations


Proceedings ArticleDOI
09 Sep 2013
TL;DR: In this paper, a high sensitivity negative tone resist that relies on polarity switching, similar to a positive-tone mechanism, but where the exposed area is insoluble in aqueous developer resulting in a negative-tone image.
Abstract: Resist materials rely on solubility differences between the exposed and unexposed areas to create the desired image. Most negative-tone resists achieve the solubility difference by crosslinking the exposed area causing it to be insoluble in developer. The negative tone resist studied here is a high sensitivity negativetone resist that relies on polarity switching, similar to a positive-tone mechanism, but where the exposed area is insoluble in aqueous developer resulting in a negative-tone image. During mask evaluation for 14nm optical technology applications of the studied non-cross linking (polarity switching) resist, 1 - 5 μm size blob-like defects were found in large numbers under certain exposure conditions. This paper will describe the process and methodologies used to investigate these blob defects.

1 citations