scispace - formally typeset
Proceedings ArticleDOI

Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

Reads0
Chats0
TLDR
In this article, a new blank for the alternating phase shift mask (alt. PSM) and binary mask (BIM) is developed, and the top film of new blank is thin Cr and the antireflection film and shielding film composed of MoSi are deposited under the Cr film.
Abstract
For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.

read more

Citations
More filters
Journal ArticleDOI

Immersion Lithography: Photomask and Wafer-Level Materials

TL;DR: In this paper, the authors highlight the recent materials advances in photomasks, immersion fluids, topcoats, and photoresists, and double-patterning lithography.
Journal ArticleDOI

Lithographic qualification of new opaque MoSi binary mask blank for the 32-nm node and beyond

TL;DR: In this article, a new type of binary mask blank consisting of an opaque layer of MoSi on a glass substrate, referred to simply as OMOG, was discussed and compared to a previous chrome/MoSi/glass binary intensity mask (BIM) blank.
Proceedings ArticleDOI

Impact of new MoSi mask compositions on processing and repair

TL;DR: In this paper, a new low-stressed Hi-T mask blank material is proposed to address the challenges presented by transitioning to smaller technology nodes including difficulties with pattern transfer, cleaning and repair.
Proceedings ArticleDOI

MoSi absorber photomask for 32nm node

TL;DR: In this article, the authors used MoSi absorber instead of conventional Cr absorber mask as a hard mask to reduce resist thickness in order to meet the 32 nm generation specification.
References
More filters
Proceedings ArticleDOI

Mask modeling in the Low k1 and ultrahigh NA regime : Phase and polarization effects

TL;DR: In this article, the state-of-the-art mask modeling for optical lithography is reviewed, which includes the printability of small assist features, intensity imbalancing for alternating PSM, and process window deformations.
Proceedings ArticleDOI

Plasma etch of binary Cr masks: CD uniformity study of photomasks utilizing varying Cr loads

TL;DR: The use of plasma etch in patterning Binary Cr layers for modern reduction reticles has seen dramatic increase in the past two years as mentioned in this paper and the drive towards the 0.25 micrometer and 0.18micrometer technology has rendered wet etch of Binary Cr inadequate for the demanding gate level designs of most advanced devices.
Proceedings ArticleDOI

Through-pitch and through-focus characterization of AAPSM for ArF immersion lithography

TL;DR: In this article, the authors minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations, based on the results, fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner.
Proceedings ArticleDOI

Impact of polarization for an attenuated phase shift mask with ArF hyper-NA lithography

TL;DR: In this paper, the effect of mask size on the resolution of low-k1 lithography was analyzed and a rigorous simulation was performed in consideration of the above conditions. But the authors did not take into account the influence of mask pattern size on illumination.
Related Papers (5)
Trending Questions (1)
How do you get rid of extra blank cells in Excel?

Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer.