scispace - formally typeset
Open AccessJournal ArticleDOI

An Analytical Gate Delay Model in Near/Subthreshold Domain Considering Process Variation

Peng Cao, +3 more
- 22 Nov 2019 - 
- Vol. 7, pp 171515-171524
Reads0
Chats0
TLDR
Experimental results show the proposed model is highly fitted with Monte Carlo results for stochastic delay modeling of generic logic gates in near/subthreshold regime with less than 8% and 6% error in delay variability and delay prediction, showing maximum accuracy improvement about 40 times compared to preproposal models.
Abstract
Voltage scaling technique is widely employed in state-of-the-art low power circuits with excellent power reduction. However, voltage scaling to sub-threshold (STV) and near-threshold (NTV) domain introduces performance degradation and high process variation sensitivity. Accurate modeling of the statistical characteristics especially the probability distribution function (PDF) and the cumulative distribution function (CDF) is urgently required with process variation consideration. In this paper, a novel analytical model is derived based on log-skew-normal (LSN) distribution to precisely evaluate the gate delay variation. The multi-variate threshold variation in stacked gates are modeled with a linear approximation method in delay distribution derivation. By applying the CDF of the proposed model, the maximum and minimum delay indicated by ±3σ percentile point can be calculated essentially different from the common method with much higher accuracy. Experimental results show the proposed model is highly fitted with Monte Carlo (MC) results for stochastic delay modeling of generic logic gates in near/subthreshold regime with less than 8% and 6% error in delay variability and ±3σ delay prediction, showing maximum accuracy improvement about 40 times compared to preproposal models.

read more

Content maybe subject to copyright    Report

Citations
More filters

Microarchitectural-Level Statistical Timing Models for Near-Threshold Circuit Design

TL;DR: In this paper, the authors proposed architectural-level statistical static timing analysis (SSTA) models for the near-threshold voltage computing where the path delay distribution is approximated as a lognormal distribution.
Journal ArticleDOI

A Timing Yield Model for SRAM Cells at Sub/Near-Threshold Voltages Based on a Compact Drain Current Model

TL;DR: In this paper , the authors proposed a simple but efficient empirical model to describe the drain-induced barrier lowering (DIBL) effect for sub/near-threshold static random access memory (SRAM) design.
Journal ArticleDOI

VASTA: A Wide Voltage Statistical Timing Analysis Tool Based on Variation-Aware Cell Delay Models

TL;DR: VASTA, a statistical timing analysis tool based on the variation-aware standard cell library, efficiently supports statistical static timing analysis (SSTA) and statistical dynamic timing analysis(SDTA) and is designed to run in parallel during both SSTA and SDTA.
Journal ArticleDOI

A Timing Yield Model for SRAM Cells at Sub/Near-Threshold Voltages Based on a Compact Drain Current Model

TL;DR: In this article , the authors proposed a simple but efficient empirical model to evaluate SRAM dynamic stabilities, including access time failure and the write failure, based on the drain-induced barrier lowering (DIBL) effect.
Journal ArticleDOI

A Computationally Efficient Model for FDSOI MOSFETs and Its Application for Delay Variability Analysis

TL;DR: In this paper , a physics-based current model for fully depleted silicon-on-insulator (FDSOI) MOSFETs is proposed and applied to delay variability analysis.
References
More filters
Journal ArticleDOI

Matching properties of MOS transistors

TL;DR: In this paper, the matching properties of the threshold voltage, substrate factor, and current factor of MOS transistors have been analyzed and measured, and the matching results have been verified by measurements and calculations on several basic circuits.
Proceedings ArticleDOI

Near-threshold voltage (NTV) design: opportunities and challenges

TL;DR: The design techniques necessary for reliable operation over a wide range of supply voltage - from nominal down to subthreshold region are discussed.
Journal ArticleDOI

Interests and Limitations of Technology Scaling for Subthreshold Logic

TL;DR: The interests and limitations of technology scaling for subthreshold logic are investigated from 0.25 mum to 32 nm nodes, which shows scaling to 90/65 nm nodes is shown to be highly desirable for medium-throughput applications due to great dynamic energy reduction.
Journal ArticleDOI

Analysis and Comparison in the Energy-Delay-Area Domain of Nanometer CMOS Flip-Flops: Part I—Methodology and Design Strategies

TL;DR: In this paper, an extensive comparison of existing flip-flop classes and topologies is carried out and analysis explicitly accounts for effects that arise in nanometer technologies and affect the energy-delay-area tradeoff.
Related Papers (5)