scispace - formally typeset
Open AccessProceedings ArticleDOI

Roughness measurement of 2D curvilinear patterns: challenges and advanced methodology

Reads0
Chats0
TLDR
This article proposes to use a dedicated edge detection algorithm to measure LER of 2D curvilinear patterns on CD-SEM images with excellent correlation between the input roughness parameters and the measured parameters for both 1D and 2D synthetic images.
Abstract
2D curvilinear patterns are more and more present in the lithography landscape. For the related devices, the line edge roughness (LER) is, as well as for lines and spaces, a critical figure of merit. In this article we propose to use a dedicated edge detection algorithm to measure LER of 2D curvilinear patterns on CD-SEM images. We present an original method to validate the algorithm, in the context of roughness measurement. It is based on the generation of realistic synthetic CD-SEM images with programmed roughness and a precise PSD analysis flow. We show excellent correlation (average R2 = 0.988) between the input roughness parameters and the measured parameters for both 1D and 2D synthetic images. Using synthetic images for different number of frames, the contour extraction sensitivity to noise is also explored. Finally, the methodology is successfully applied to experimental CD-SEM images for two classes of applications : photonic devices and DSA fingerprint patterns.

read more

Content maybe subject to copyright    Report

HAL Id: hal-03156564
https://hal.archives-ouvertes.fr/hal-03156564
Submitted on 2 Mar 2021
HAL is a multi-disciplinary open access
archive for the deposit and dissemination of sci-
entic research documents, whether they are pub-
lished or not. The documents may come from
teaching and research institutions in France or
abroad, or from public or private research centers.
L’archive ouverte pluridisciplinaire HAL, est
destinée au dépôt et à la diusion de documents
scientiques de niveau recherche, publiés ou non,
émanant des établissements d’enseignement et de
recherche français ou étrangers, des laboratoires
publics ou privés.
Roughness measurement of 2D curvilinear patterns:
challenges and advanced methodology
Jonathan Pradelles, Loïc Perraud, Aurélien Fay, Jean-Baptiste Henry, Jessy
Bustos, Estelle Guyez, Sébastien Berard-Bergery, Aurélie Le Pennec,
Mohamed Abaidi, Jordan Belissard, et al.
To cite this version:
Jonathan Pradelles, Loïc Perraud, Aurélien Fay, Jean-Baptiste Henry, Jessy Bustos, et al.. Roughness
measurement of 2D curvilinear patterns: challenges and advanced methodology. SPIE Advanced
Lithography„ Feb 2021, Online Only, France. pp.30, �10.1117/12.2583843�. �hal-03156564�

Roughness measurement of 2D curvilinear patterns: challenges
and advanced methodology
Jonathan Pradelles
a
, Loïc Perraud
a
, Aurélien Fay
a
, Jean-Baptiste Henry
a
, Jessy Bustos
a
,
Estelle Guyez
a
, Sébastien Berard-Bergery
a
, Aulie Le Pennec
a
, Mohamed Abaidi
b
, Jordan
Belissard
b
, Nivea Schuch
b
, Thiago Figueiro
b
, Matthieu Millequant
b
, Patrick Schiavone
b,c
a
Univ. Grenoble Alpes, CEA, LETI, DPFT, Lithography Laboratory, F-38000 Grenoble, France
b
ASELTA Nanographics, 4 pl. Robert Schuman, F-38000 Grenoble, France
c
Univ. Grenoble Alpes, CNRS, CEA/LETI-Minatec, Grenoble INP, LTM, F-38054 Grenoble-France
The importance of measurement and analysis of pattern roughness in SEM (Scanning Electron
Microscope) images has continuously grown in the past few decades since it affects performances of
devices [1]. In parallel, non-Manhattan patterns such as curvilinear and shapes with multiple angles
are more and more present in recent lithography landscape [2], [3]. Key examples are photonic devices,
ILT (Inverse Lithography Technology) patterns in photomask fabrication, and DSA (Directed Self-
Assembly) fingerprint patterns for early material development. In this article the challenges associated
with roughness measurement on such curvilinear patterns will be presented and algorithms and
methodology to tackle these challenges will be proposed.
The proposed methodology relies on robust-to-noise contour extraction algorithms [4]. In this paper, an
original method to evaluate and validate contour extraction algorithms, in the context of roughness
measurement, is proposed. The schematic workflow is illustrated in Figure 1. Both a SEM model and a
noise model are calibrated using experimental SEM images from state-of-the-art equipment and
processes. This allows the generation of synthetic SEM images with arbitrary layout, programmed
roughness and controlled noise (equivalent to frame by frame’ SEM image acquisition). Edge detection
algorithms and advanced PSD analysis are applied to the images for roughness measurement. Same
strategy may then be applied to real SEM images.
The method is first carried out in 1D patterns (straight lines). For that, synthetic SEM images are
generated, following the protocol of ref [5]. From this step, an excellent correlation with the results
obtained in [6] is found. Additionally, to that, a very low noise sensitivity of the edge contour extraction
algorithm is noticed (Figure 2).
The methodology is then extended to 2D patterns. As a first step, we make use of synthetic SEM images
to discuss the potential problems raised by curvilinear patterns, such as reference determination, data
interpolation and closing contours. In the same way as for 1D patterns, a programmed roughness, entered
as input in the workflow of Figure 1, is found back in the analysis after contour extraction and PSD
analysis. Using synthetic images for different number of frames, the contour extraction sensitivity to
noise can also be explored.
Finally, the methodology is successfully applied to experimental SEM images: unbiased PSD of 2D
curved patterns are determined for two classes of applications: photonic devices (as shown in Figure 3)
and DSA fingerprint (as shown in Figure 4).
Keywords: 2D roughness metrology, curvilinear patterns, contour metrology, PSD analysis, SEM
model, synthetic images

Figure 1: Schematic workflow used to measure roughness on curvilinear 2D patterns.
Figure 2: 1D-pattern roughness measurement following protocol of [5] with excellent correlation
with results of [6].
(a)
(b)
(c)
Figure 3: (a), a ring oscillator design from a photonic device. (b) a detailed zoom of the associated
SEM image, showing the variability of angles that have to be considered for the roughness
measurement. (c), the unbiased PSD for the ring oscillator in (a).

(a)
(b)
(c)
Figure 4: (a) a SEM image from a DSA fingerprint and zoom in detailed (highlighted in red). (b),
the result of the contour extraction [4], and (c), the associated unbiased PSD.
REFERENCES
[1] P. Oldiges, Qimghuamg Lin, K. Petrillo, M. Sanchez, M. Ieong and M. Hargrove, "Modeling line edge
roughness effects in sub 100 nanometer gate length devices," 2000 International Conference on
Simulation Semiconductor Processes and Devices (Cat. No.00TH8502), Seattle, WA, USA, 2000, pp.
131-134, doi: 10.1109/SISPAD.2000.871225.
[2] L.Dalton, Photonic integration improves on current technologies”, 7 October 2007, SPIE Newsroom.
doi:10.1117/2.1200710.0866
[3] C.J. Koh, P. Madden, "Manhattan or non-Manhattan?: a study of alternative VLSI routing architectures",
Proceedings of the 10th Great Lakes symposium on VLSIMarch 2000 Pages 4752, doi:
10.1145/330855.330961
[4] Le-Gratiet, B., Bouyssou, R., Ducote, J., Ostrovsky, A., Beylier, C., Gardin, C., Schuch, N. G.,
Annezo, V., Schneider, L., Millequant, M., Petroni, P., Figueiro, T. and Schiavone, P., “Contour
based metrology: “make measurable what is not so",” Metrology, Inspection, and Process
Control for Microlithography XXXIV, SPIE (2020).
[5] Gian Francesco Lorusso, Takumichi Sutani, Vito Rutigliani, Frieda van Roey, Alain Moussa,
Anne-Laure Charley, Chris Mack, Patrick Naulleau, Chami Perera, Vassilios Constantoudis,
Masami Ikota, Toru Ishimoto, and Shunsuke Koshihara "Need for LWR metrology
standardization: the imec roughness protocol," Journal of Micro/Nanolithography, MEMS, and
MOEMS 17(4), 041009 (12 September 2018). https://doi.org/10.1117/1.JMM.17.4.041009
[6] Chris A. Mack, Frieda Van Roey, and Gian F. Lorusso "Unbiased roughness measurements:
subtracting out SEM effects, part 3", Proc. SPIE 10959, Metrology, Inspection, and Process
Control for Microlithography XXXIII, 109590P (26 March 2019);
https://doi.org/10.1117/12.2515898
Citations
More filters
Proceedings ArticleDOI

Block copolymer line roughness measurement via PSD: application to fingerprint samples

TL;DR: In this article, the authors introduced line roughness characterization of non-straight patterns made of block copolymers (fingerprint patterns) using Power Spectral Density (PSD) based on a special edge detection developed at CEA-LETI.
Proceedings ArticleDOI

Can remote SEM contours be used to match various SEM tools in fabs?

TL;DR: In this paper , a large set of patterns of various dimensions and shapes (both 1D and 2D) is imaged with two different CD-SEM after etch process step.
Proceedings ArticleDOI

Model-based contour extraction: an enabler for very low-frame SEM images metrology

TL;DR: It is demonstrated that a model-based contour extraction algorithm is able to precisely characterize SEM-induced 2D resist shrinkage and is more robust to noise than standard algorithms by 21% on synthetic data and by 36% on experimental data.
Proceedings ArticleDOI

The rise of contour metrology from niche solution to versatile enabler

TL;DR: In this article , the authors present different strategies for obtaining contours from SEM images as well as multiple applications that are now relying on contours for either improving existing capabilities or proposing new ones that enable processes to continue to advance in future technology nodes.
References
More filters
Journal ArticleDOI

X-ray and neutron scattering from rough surfaces

TL;DR: It is shown how various well-known asymptotic power laws in S(q) are obtained from the above theory, and the theory is compared with experimental results on x-ray scattering from a polished Pyrex glass surface.
Journal ArticleDOI

A theoretical analysis of scattering loss from planar optical waveguides

TL;DR: In this paper, the authors derived analytical expressions for the scattering loss in planar optical waveguides whose surface roughness can be described by an exponential or Gaussian autocorrelation function.
Proceedings ArticleDOI

Modeling line edge roughness effects in sub 100 nanometer gate length devices

TL;DR: In this article, a fast method to estimate the effects of line edge roughness is proposed, based upon the use of multiple 2D device "slices" sandwiched together to form a MOS transistor of a given width.
Journal ArticleDOI

Roughness induced backscattering in optical silicon waveguides.

TL;DR: The results demonstrate that backscattering is one of the most severe limiting factors in state-of-the art silicon on insulator nanowires employed in densely integrated photonics.
Proceedings ArticleDOI

Manhattan or non-Manhattan?: a study of alternative VLSI routing architectures

TL;DR: A new routing paradigm that strikes at the root of the interconnect problem by reducing wire lengths directly is explored, and a non-Manhattan Steiner tree heuristic is presented, obtaining wire length reductions of much as 17% on average, when compared to rectilinear topologies.
Related Papers (5)
Frequently Asked Questions (1)
Q1. What are the contributions in "Roughness measurement of 2d curvilinear patterns: challenges and advanced methodology" ?

HAL this paper is a multi-disciplinary open access archive for the deposit and dissemination of scientific research documents, whether they are published or not.