scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2018"


Journal ArticleDOI
TL;DR: Reflective-mode lensless imaging of a patterned multi-layer mask sample at extreme ultraviolet wavelength that provides a finely structured defect map of the sample under test is reported on.
Abstract: While the industrial implementation of extreme ultraviolet lithography for upcoming technology nodes is becoming ever more realistic, a number of challenges have yet to be overcome. Among them is the need for actinic mask inspection. We report on reflective-mode lensless imaging of a patterned multi-layer mask sample at extreme ultraviolet wavelength that provides a finely structured defect map of the sample under test. Here, we present the imaging results obtained using ptychography in reflection mode at 6° angle of incidence from the surface normal and 13.5 nm wavelength. Moreover, an extended version of the difference map algorithm is employed that substantially enhances the reconstruction quality by taking into account both long and short-term variations of the incident illumination.

20 citations


Proceedings ArticleDOI
29 May 2018
TL;DR: Fourier ptychography is a computational imaging technique that combines various full-field coherent images acquired under varied illumination angles and combined to yield a angular spectrum with a large synthetic numerical aperture and non-interferometric phase information as mentioned in this paper.
Abstract: Fourier ptychography is a computational imaging techniques that combines various full-field coherent images acquired under varied illumination angles and combined to yield a angular spectrum with a large synthetic numerical aperture and non-interferometric phase information. We present here the implementation of this technique in a full-field soft x-ray microscope designed to emulate modern EUV lithography tools imaging conditions, and we show that this technique can be used for the study of EUV photomasks. The technique allows us to quantitatively characterize phase defects (predominant in EUV lithography), to study new mask designs made of phase structures, to study sub-resolution assist features and extend the resolution of the microscope down to 26-nm, correspond to the N1 technology node.

11 citations


Proceedings ArticleDOI
03 Oct 2018
TL;DR: This paper discussed e-beam pattern mask inspection (PMI) and wafer inspection, introduced the most advanced multiple beam technology and next generation multiple beam inspector (MBI) development, and discussed related technologies.
Abstract: With technology node shrinking to 7nm and beyond, EUV lithography has been adopted in most of the advanced manufacture fab. This made the killer defect size become even smaller on both wafer and mask. The optical inspection can’t meet the sensitivity requirement, so e-beam inspection is widely used during wafer fabrication, and started to be used in pattern mask inspection (PMI). However, the drawback of e-beam inspection is low throughput. To achieve both good sensitivity and high throughput, we are developing multiple beam inspector(MBI) to meet industry’s need for EUV lithography. In this paper, we discussed e-beam pattern mask inspection(PMI) and wafer inspection, introduced our most advanced multiple beam technology and next generation multiple beam inspector (MBI) development. We have successfully got 9 images on primary beam module, and also images from secondary electron projection module. We also discussed related technologies, e.g. computation and fast stage technology to further improve throughput and lower COO. At last MBI new applications are discussed.

6 citations


Proceedings ArticleDOI
08 Oct 2018
TL;DR: In this article, a compact and accelerator-based light source with high brightness and stability for actinic mask inspection on EUV reticles is presented, which is based on the well-established components and design principles.
Abstract: One of the challenges of actinic metrology tools for EUV lithography is the availability of light sources with high brightness, stability, and availability. In particular, actinic patterned mask inspection on EUV reticles is considered an essential tool for the EUV lithography ecosystem and it requires an EUV source of high brightness. We present the design of a compact and accelerator-based light source producing EUV radiation with high-brightness for actinic metrology applications in the semiconductor industry. Our design is based on the well-established components and design principles. The specifications required for actinic mask inspection is achieved using a short period undulator and 430 MeV electron energy. The concentric design of storage and booster rings enables stable operation with a relatively small footprint. This study shows the commercial viability of a compact and high-brightness EUV source with high stability and reliability and demonstrates its feasibility for actinic metrology applications.

5 citations


Proceedings ArticleDOI
22 Jan 2018
TL;DR: Extensions to the 193nm wavelength inspection system for the typical 2019/20 HVM EUV reticle defect requirements are developed, showing significant defect detection improvements versus the prior generation inspection system.
Abstract: The current industry plan is for EUV Lithography (EUVL) to enter High Volume Manufacturing (HVM) in the 2019/20 timeframe for the 1X nm half-pitch (HP) node (logic and memory). Reticle quality and reticle defects continue to be a top industry risk. The primary reticle defect quality requirement continues to be “no reticle defects causing 10% or larger CD errors on wafer (CDE)”. In 2013, KLA-Tencor reported on inspection of EUV reticles using a 193nm wavelength inspection system1. The report included both die-to-database (db) and die-to-die (dd) inspection modes. Results showed the capability to detect a wide variety of programmed and native reticle defects judged to be critical. We have developed extensions to the 193nm wavelength (193) inspection system for the typical 2019/20 HVM EUV reticle defect requirements. These improvements include innovations in: defect enhancement methods, database modeling, defect detection, and throughput. In this paper, we report on the latest data and results of this work, focusing on EUV reticle dieto- database inspection. Inspection results are shown using typical next generation EUV programmed defect test reticles and typical full field product-like EUV reticles, all from industry sources. Results show significant defect detection improvements versus the prior generation inspection system. We also report the test results of a high throughput die-todatabase inspection mode that could be used for the typical mask shop outgoing inspection of EUV reticles where particles are the primary defect to be detected and there is no pellicle (or the pellicle transmits 193nm wavelength2).

4 citations


Proceedings ArticleDOI
03 Oct 2018
TL;DR: In this study, inspection results of programmed defect macros (PDMs) based on actual EUV device constructs are shown and whether a combination of multiple focus offsets and polarizations is an effective approach to increase defect sensitivity on device patterns through the analysis of PDMs.
Abstract: EUV (Extreme Ultraviolet) lithography is one of the key enabling techniques for imaging 7-nm node and beyond wafer technologies. To ensure mask quality levels will support High Volume Manufacturing (HVM), all “defects that matter”, must be identified and screened out before shipment to the wafer fab. Mask defects that matter are the ones that print during exposure at 13.5 nm wavelength. To support EUV development and production schedules, mask defectivity must be reduced to be at or near the optical defect levels. This task is complicated by the fact that actinic EUV mask inspectors are not currently available. In the absence of these EUV inspection tools, all available methods for detecting and characterizing defects must be deployed. Based on extensive deployment and on its record for reasonable throughput, 19x nm wavelength inspection is one of the strongest candidates available today for the initial EUV mask inspection approach. However, there are several key challenges with 19x nm optical inspection of EUV masks. Aside from the documented challenges of using a non-actinic wavelength, a key challenge is that the defect sensitivity varies based on pattern sizes and defect types and therefore, a wide range of pattern sizes and defect types need to be used to optimize inspection sensitivity. Through a variety of evaluations on simple test patterns, it has been confirmed that a combination of multiple focus offsets and polarization settings enables adequate sensitivity to meet early sensitivity requirements for 7 nm EUV production masks. As the result, focus offsets and polarization settings could be optimized to successfully develop new inspection recipes that could meet a target defect criteria with multi-pass inspection. [1] In this study, we will show inspection results of programmed defect macros (PDMs) based on actual EUV device constructs. Then, it will be discussed whether a combination of multiple focus offsets and polarizations is an effective approach to increase defect sensitivity on device patterns through the analysis of PDMs. We will demonstrate how inspection parameter optimization can be done to tailor 19x nm inspection to EUV device designs and what defect sizes and types are detectable with a 19x nm inspection system to assess capability for meeting the 7nm node development and production requirements. [1] Kazunori Seki et al., “Minimizing “Tone Reversal” during 19x nm Mask Inspection,” PMJ2018 6-2

4 citations


Proceedings ArticleDOI
12 Jun 2018
TL;DR: In this article, the defect sensitivity differences among the base pattern sizes, as well as the relationship between base pattern contrast and defect sensitivity, are explored for EUV mask inspection on an optical, 19x nm wavelength tool.
Abstract: 19x nm defect inspection is the strongest candidate for initial EUV production until high-throughput E-Beam or Actinic inspection is ready. However, EUV mask inspection on an optical, 19x nm wavelength tool has some difficulties compared to optical masks. The issue of varying base pattern contrast is an example of one such difficulty. This paper explores the defect sensitivity differences among the base pattern sizes, as well as the relationship between base pattern contrast and defect sensitivity. Focus offset and polarization adjustments on programmed defect test masks are used to create new inspection recipes.

3 citations


Proceedings ArticleDOI
01 Jan 2018
TL;DR: In this paper, the authors analyzed the measurement time per unit area when using soft X-ray ptychography for various nanometrology applications including mask inspection and wafer inspection, and were thus able to predict (order of magnitude) throughput figures.
Abstract: Due to its potential for high resolution and three-dimensional imaging, soft X-ray ptychography has received interest for nanometrology applications. We have analyzed the measurement time per unit area when using soft X-ray ptychography for various nanometrology applications including mask inspection and wafer inspection, and are thus able to predict (order of magnitude) throughput figures. Here we show that for a typical measurement system, using a typical sampling strategy, and when aiming for 10-15 nm resolution, it is expected that a wafer-based topology (2.5D) measurement takes approximately 4 minutes per μm2, and a full three-dimensional measurement takes roughly 6 hours per μm2. Due to their much higher reflectivity EUV masks can be measured considerably faster; a measurement speed of 0.1 seconds per μm2 is expected. However, such speeds do not allow for full wafer or mask inspection at industrially relevant throughput.

2 citations


Proceedings ArticleDOI
19 Mar 2018
TL;DR: In this paper, the authors evaluated the effects of different types of EUV pellicles on the reconstructed images and found that high absorbption silicon nitride pellicle significantly reduced the imaging quality.
Abstract: RESCAN is a metrology platform, currently under development at Paul Scherrer Institut to provide actinic inspection capability for EUV reticles. It is a lensless microscope and its defect detection protocol is based on coherent diffraction imaging. One of the key features of an actinic pattern inspection tool is the ability to operate on reticles protected by an EUV pellicle. Thanks to the absence of imaging optics in close proximity of the sample, there are no geometrical constraints preventing the inspection of a pellicle-protected reticle in RESCAN. Nevertheless, the defect detection sensitivity depends on the quality of the reconstructed images and it is therefore important to assess if and how these are affected by the presence of an EUV pellicle. We report here the results of an evaluation of the effects of different types of EUV pellicles on the reconstructed images. We observed that high-absorption silicon nitride pellicles significantly reduce the imaging quality whereas in the case of the CNT-based pellicles the imaging performance was not affected. We also observed no damage of the CNT-based pellicle. To our knowledge, this work is the first successful attempt to perform mask inspection through EUV pellicles.

2 citations


Proceedings ArticleDOI
26 Mar 2018
TL;DR: In this article, the design of a compact electron storage ring for the production of high-brightness EUV radiation is presented, which has potentially important metrology applications in the semiconductor industry.
Abstract: The design of a compact electron storage ring for the production of high-brightness EUV radiation is presented. Such a source has potentially important metrology applications in the semiconductor industry.

2 citations


Proceedings ArticleDOI
20 Mar 2018
TL;DR: This work introduces into NXP mask preparation a flow with pattern-matching-assisted mask data inspection solution, employing Cadence Pattern Analysis (CPA) tool, and engages in CPA-facilitated creation of pattern libraries to achieve more comprehensive results in more automatic ways than what could be realized with traditional approaches.
Abstract: Advances in pattern-based layout tools enable automatic and rapid capture, tailoring, creation, classification, and comparison/matching (accurate or fuzzy) of large quantities of patterns. Applications of such tools have significantly improved traditional script- or manual- based approaches, and have produced impressive results in production OPC and mask work. In this work, we introduce into NXP mask preparation a flow with pattern-matching-assisted mask data inspection solution, employing Cadence Pattern Analysis (CPA) tool. We also engage in CPA-facilitated creation of pattern libraries to achieve more comprehensive results in more automatic ways than what could be realized with traditional approaches, and utilize these patterns to accelerate OPC tuning, monitoring, and optimization.

Proceedings ArticleDOI
13 Mar 2018
TL;DR: A novel approach for measurement generalization is presented, CD variation assessment performance is evaluated on multiple different complex shape patterns, and is benchmarked against an existing qualified measurement methodology.
Abstract: Over the years, mask and wafers defects dispositioning has become an increasingly challenging and time consuming task. With design rules getting smaller, OPC getting complex and scanner illumination taking on free-form shapes - the probability of a user to perform accurate and repeatable classification of defects detected by mask inspection tools into pass/fail bins is reducing. The critical challenging of mask defect metrology for small nodes ( < 30 nm) was reviewed in [1]. While Critical Dimension (CD) variation measurement is still the method of choice for determining a mask defect future impact on wafer, the high complexity of OPCs combined with high variability in pattern shapes poses a challenge for any automated CD variation measurement method. In this study, a novel approach for measurement generalization is presented. CD variation assessment performance is evaluated on multiple different complex shape patterns, and is benchmarked against an existing qualified measurement methodology.

Patent
12 Apr 2018
TL;DR: In this article, a reference image was generated based on a comparison between design data of a mask having patterns and an optical image of the mask in a first region of mask designated in advance, and confirming whether the generated reference image has effectiveness.
Abstract: To include generating a reference image based on a comparison between design data of a mask having patterns and an optical image of the mask in a first region of the mask designated in advance, and confirming whether the generated reference image has effectiveness, the generating and the confirming being performed by a reference circuit, in which the confirmation on whether the reference image has effectiveness includes adding, as a confirmation region in which whether the reference image has effectiveness is to be confirmed, a second region of the mask in addition to the first region set in advance as the confirmation region, the adding being performed by an addition circuit, and confirming whether the reference image has effectiveness in the confirmation region including the first region and the second region, the confirming being performed by the reference circuit

Patent
04 Sep 2018
TL;DR: In this paper, a roll-to-roll plastic mask inspection and control method is presented. And the method can realize automation and intelligence in a quality detection process of a roll to-roll mask and can effectively guarantee the roll to roll mask detection precision and substantially improve the mask detection efficiency.
Abstract: The embodiment of the invention provides a roll-to-roll plastic mask inspection and control method. The method can realize automation and intelligence in a quality detection process of a roll-to-rollmask and can effectively guarantee the roll-to-roll mask detection precision and substantially improve the mask detection efficiency. Meanwhile, the method also can effectively reduce the production cost in the plastic mask production process.

Patent
20 Sep 2018
TL;DR: In this article, a stage is moved in Z-direction oppositely from a curvature direction by a moving amount corresponding to the curvature degree of a reference mask, and a reference surface map is created based on contrast values of an optical image formed by light irradiated to the inspection-target mask through a transmissive member and an optical system.
Abstract: PROBLEM TO BE SOLVED: To provide a map creation method, a mask inspection method, and a mask inspection device, which are capable of inspecting defects of masks having fine patterns at a low cost with high accuracy.SOLUTION: A stage is moved in Z-direction oppositely from a curvature direction by a moving amount corresponding to a curvature degree of a reference mask; a reference surface map is created based on contrast values of an optical image formed by light irradiated on a reference mask through a transmissive member and an optical system; the stage is moved in the Z-direction oppositely from a curvature direction by a moving amount corresponding to a curvature degree of the reference surface; a curvature degree of an inspection-target mask is acquired based on contrast values of an optical image formed by light irradiated to the inspection-target mask through the transmissive member and the optical system; and a sum or difference of the curvature degree of the reference surface and the curvature degree of the inspection-target mask is acquired as a deviation amount in the Z-direction between the inspection-target mask and a focus position of the optical system to create a defocus map.SELECTED DRAWING: Figure 3

Proceedings ArticleDOI
07 Nov 2018
TL;DR: Roadmap of Adlyte’s light source including HVM manufacturing and productization processes will be presented and the industrial readiness to support the authors' customers in the field will be outlined.
Abstract: Key components for the EUV mask infrastructure include pattern inspection, which are crucial for the introduction of EUV lithography into HVM. The use of pellicles further aggravates the need for actinic light sources. EUV light sources with a high availability and brightness are required to increase the throughput for pattern inspection systems to HVM requirements. In addition, the future node sizes results in the demand for a much higher brightness actinic light sources, enabling the required throughput and minimize the cost of ownership. Adlyte has been developing a droplet based laser produced plasma (LPP) light over the last decade, specifically focused on the needs of actinic mask inspection for AIMS, Blank and Pattern Tools. Here, latest technical improvements in the EUV brightness and stability of the LPP light source will be presented. Having demonstrated brightness measurements of over 300 W/mm2 Sr, Adlyte’s light source would fulfill all the technical requirements of actinic pattern mask inspection systems for the present and many future nodes, lowering technology risks for future upgrades. In addition, new advances in droplet generator (DG) technology, including advanced actuation technology will be presented. Long run time testing will demonstrate the operational. Operational DG swap data will also be presented showing minimal downtime to maintain tool uptime. The first bounce EUV collector and its debris control and mitigation will be presented showing affordable source cost-of-ownership (COO). The small footprint of the source as well as state of the art platform damping technology ensures that the light source can be seamlessly integrated into the inspection tool. Roadmap of Adlyte’s light source including HVM manufacturing and productization processes will also be presented and the industrial readiness to support our customers in the field will be outlined.

Proceedings ArticleDOI
19 Sep 2018
TL;DR: In this paper, the AG8-AGM photolithography engineering team in collaboration with Gusmini attrezzature industriali developed a tool called "CK-MASK" able to handle 6" masks and to reduce the risks connected to masks inspection and blowing.
Abstract: Photolithography masks require a periodical inspection and cleaning. The visual inspection is often paired with a mask air blowing to remove eye visible particles. If these steps are run manually they are really critical for mask integrity in terms of contaminations, scratches, fingerprints, pellicle damage... All these potential issues arise during the mask certification process causing mask repelliculization, and, in the worst case, mask scrap with drawbacks linked to production aspects: quality (repetitive defects), cost (mask repels/remake), production lots on hold, non-linear production WIP and non-respect of production commitments. AG8-AGM photolithography engineering team in collaboration with “Gusmini attrezzature industriali” developed a tool called “CK-MASK” able to handle 6” masks and to reduce the risks connected to masks inspection and blowing.

Patent
07 Sep 2018
TL;DR: In this article, the main control device, a worktable, a supporting frame, an XY-axis servo mechanism, a feeding-discharging driving mechanism, an image acquisition apparatus and a light illumination apparatus, where the worktable is provided with a camping plate for positioning a to-be-inspected mask.
Abstract: Embodiments of the invention provide a reel-to-reel plastic mask inspection system. The reel-to-reel plastic mask inspection system comprises a main control device, a worktable, a supporting frame, anXY-axis servo mechanism, a feeding-discharging driving mechanism, an image acquisition apparatus and a light illumination apparatus, wherein the worktable is provided with a camping plate for positioning a to-be-inspected mask; the main control device is separately connected with the XY-axis servo mechanism, the feeding-discharging driving mechanism, the image acquisition apparatus and the lightillumination apparatus, the light illumination apparatus is embedded into the surface of the worktable, the image acquisition apparatus is arranged on the supporting frame and faces the light illumination apparatus, and the supporting frame is connected with the XY-axis servo mechanism. By virtue of the ingenious design of the reel-to-reel mask inspection system, the automation and intelligentization of the mask inspection process can be effectively improved, and the mask inspection cost can be decreased.

Proceedings ArticleDOI
15 Oct 2018
TL;DR: In this paper, the authors use the amplitude and phase maps provided by SCDI for phase contrast imaging and to characterize programmed phase defects in a hybrid absorber-phase sample in a lens-less scheme.
Abstract: As extreme ultraviolet (EUV) lithography is entering the high-volume manufacturing (HVM) phase, the ability to identify printable defects on EUV reticles becomes increasingly important to achieve the required wafer yield. However, no commercially available tool exists today for actinic patterned mask inspection (APMI). RESCAN is an APMI tool based on scanning coherent diffraction imaging (SCDI) under development at the Paul Scherrer Institut. In the last years, using RESCAN, we have demonstrated actinic identification of absorber defects on mask down to 36 nm size, and through-pellicle defect inspection. In this paper, we address a very critical but hitherto not reported feature of an APMI tool, namely the identification and characterization of phase defects on a patterned mask. Phase defects could be due to imperfections on the blank substrate leading to modification of the multilayer topology or due to particles embedded within the multilayer itself. Independent of the origin, the wave exiting the multilayer surface will have domains of phase variations as it propagates in the three-dimensional reticle stack. Mapping the exit wave that leave the EUV reticle both in amplitude and phase would be of paramount importance towards accurately predicting the EUV aerial images. Exploiting the amplitude and phase maps provided by SCDI, we use RESCAN for phase contrast imaging and to characterize programmed phase defects in a hybrid absorber-phase sample in a lens-less scheme, demonstrating the capability of the method and the tool.