scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 1992"


Journal ArticleDOI
TL;DR: In this paper, the authors proposed a number of pre-distorted mask design techniques for binary and phase-shifting masks, based on modeling the imaging mechanism of a stepper by the Hopkins equations and taking advantage of the contrastenhancement characteristics of photoresist.
Abstract: The authors propose a number of pre-distorted mask design techniques for binary and phase-shifting masks. Their approach is based on modeling the imaging mechanism of a stepper by the Hopkins equations and taking advantage of the contrast-enhancement characteristics of photoresist. Optimization techniques such as the branch and bound algorithm and simulated annealing algorithm are used to systematically design pre-distorted masks under incoherent and partially coherent illumination. Computer simulations are used to show that the intensity contour shapes and developed resist shapes of their designed mask patterns are sharper than those of conventional masks. The designed phase-shifting masks are shown to result in higher contrast as well as sharper contours than binary masks. An example of phase conflicting masks designed with the algorithm is shown to outperform a simple intuitive design. This example indicates that a fairly general design procedure consisting of alternating phase shifts and their optimized phase-shift masks is a viable candidate for future phase-shifting mask design. >

106 citations


Proceedings ArticleDOI
Pierrat1, Wong, Vaidya
01 Jan 1992
TL;DR: In this paper, the impact of phase-shifting mask topography on wafer exposure was studied via simulations and experimentation using phaseshifting masks fabricated by etching the quartz to define the shifted areas.
Abstract: The impact of phase-shifting mask topography on wafer exposure was studied via simulations and experimentation using phase-shifting masks fabricated by etching the quartz to define the shifted areas. The influence of the refractive index of the chromium layer and of the profile of the chromium patterns was shown to be minimal. On the other hand, the quartz profiles have a large impact on the wafer results. For vertical quartz profiles, the intensity of the light going through the etched portion of the mask is lower than that going through the unetched portion of the mask and varies with feature size. This problem can be addressed either by optimizing the quartz profiles or by biasing the size of the features depending on the type of pattern. >

67 citations


Patent
13 Jul 1992
TL;DR: In this article, a phase shift mask provided with a prescribed correction pattern on the end of the mask pattern domain of a constant mode or the boundary of the masks domain of plural modes is used.
Abstract: One object of the present invention is to provide the reduced projection exposure method which enables the exposure of various and fine patterns in manufacturing process of semiconductor devices or semiconductor integrated circuit devices. Structure of the present invention to attain the above object is to carry out the reduced projection exposure using a phase shift mask provided with a prescribed correction pattern on the end of the mask pattern domain of a constant mode or the boundary of the mask pattern domain of plural modes. According to this structure, as the end effects etc. are canceled by the correction pattern, the various and fine patterns can be exposed.

63 citations


Journal ArticleDOI
TL;DR: In this article, the authors describe a new technique that is useful for repairing Alternating PSMs containing transparent defects in the phase shifter elements, which can cause loss of effective depth focus (DOF) and degradation of image contrast.
Abstract: Phase shift masks (PSMs) enable current optical exposure systems to provide significantly higher resolution in effective depth of focus (DOF). Localized phase errors, or other transparent defects in the mask phase shifter elements, can cause loss of DOF and degradation of image contrast. Transparent defects of this type have prevented PSMs from becoming practical for large-scale production of integrated circuits containing deep submicrometer features. This paper describes a new technique that is useful for repairing Alternating PSMs containing transparent defects in the phase shifter elements.

44 citations


Patent
19 Mar 1992
TL;DR: In this paper, a phase shift mask is corrected by selectively etching a defective portion of the phase shifter, having a lacking type defect, with respect to the etching stopper layer along the whole thickness of the mask.
Abstract: A defect of a phase shift mask, which has a phase shifter disposed on a transparent substrate, formed into a predetermined pattern and acting to shift a phase of exposure light transmitted therethrough and an etching stopper disposed between the phase shifter and the transparent substrate, which is resistant to an etching to which the phase shifter is subjected and transparent for exposure light is corrected by selectively etching a defective portion of the phase shifter, having a lacking type defect, with respect to the etching stopper layer along the whole thickness of the phase shifter and by perforating a portion of the etching stopper layer and the transparent substrate positioned under the etched defective portion by a depth which corresponds to a magnitude of an optical path of the phase shifter for the exposure light, the etching being a reactive etching which uses charged particle beam and a reactive gas and, the bottom surface of a portion etched being flattened by utilizing a fact that the phase shifter is selectively etched.

37 citations


Journal ArticleDOI
TL;DR: In this paper, a new high-spatial-frequency enhancing filter for annular illumination system was proposed to improve both the resolution and depth-of-focus (DOF) in super-resolution photolithography.
Abstract: Several super-resolution photolithography techniques are investigated. In annular illumination, both the resolution and depth of focus (DOF) are enhanced most effectively when 0.6<σ<0.7, whether or not contrast enhancement techniques, such as pupil filters or edge-enhancing phase-shift masks (PSMs), are used. Various contrast enhancement techniques are investigated from the viewpoint of spatial frequency characteristics, since flat characteristics are required for good mask-to-image fidelity. We propose a new high-spatial-frequency enhancing filter for annular illumination system which meets this requirement. We also optimize edge-enhancing PSMs for use with annular illumination. It is shown that both methods can improve the resolution/DOF characteristics while maintaining good mask-to-image fidelity. A practical resolution analysis shows that 0.2 (0.3) µm patterns can be delineated with a DOF of ±0.6 (±0.75) µm, if a KrF (i-line) stepper with an NA0.5 lens and high-contrast resist materials are used, with few restrictions on the pattern layout.

36 citations


Patent
11 Sep 1992
TL;DR: In this article, a phase shift mask is used for photolithographically fabricating features on a very large scale integrated circuit wafer by use of a phase shifting mask defining discrete regions.
Abstract: A method and apparatus for photolithographically fabricating features on a very large scale integrated circuit wafer by use of a phase shift mask defining discrete regions. This overcomes the problems of intensity nulls at the junction of regions formed by portions of the mask having opposite phase. The mask includes a transition region defining three phases which are assigned to pixels in the transition region, such that the phase assignment of the pixels is synthesized from an algorithm taking into account optical resolution and depth of focus. Each pixel is assigned one of three discrete phases, which thereby creates a transition region simulating a ramp between the two regions of opposite phases, such that intensity variation of the optical image corresponding to the transition region is minimized.

30 citations


Proceedings ArticleDOI
01 Jun 1992
TL;DR: In this article, the authors investigated the relationship between resist pattern formation capability and the screened ratio of condenser aperture, and also the pattern deformation induced by annular illumination is investigated.
Abstract: In order to improve resolution and depth of focus (DOF) in reduction projection aligner, we investigate annular illumination method, in which the center portion of light source is screened. This paper describes the relationship between resist pattern formation capability and the screened ratio of condenser aperture. And also, the pattern deformation induced by annular illumination is investigated. First, on the basis of simulation analysis of this illumination method, the optimum optical parameters were selected to obtain high resolution and enough DOF. The effect of annular illumination was confirmed experimentally in i-line exposure. Secondly, to explore optical contrast dependence, we compared the resolution capabilities of both positive and negative resists, having different dissolution characteristics each other. It was found that annular illumination is more effective in low contrast region of light intensity. Thirdly, the dependence on pattern periodicity (L/S and isolated line), and pattern deformation were also examined. This method is effective in periodic pattern but not in isolated pattern, and induces a little pattern deformation in the edge region. Annular illumination that is a simple method compared with phase shift mask, is a promising for expanding the process margin of 0.35 ?m resist patterning. Furthermore, this method becomes more effective, if adapting thin resist or new high resolution resist, which can be resolved even in low contrast light condition.

26 citations


Patent
Kunihiro Hosono1
17 Nov 1992
TL;DR: In this paper, a pattern defect correction method of a photomask includes the steps of directing a focused ion beam to scan a small region including a pattern defects after a planarization film is formed on a region including pattern defect of a phase shift mask to etch the small region.
Abstract: A pattern defect correction method of a photomask includes the steps of directing a focused ion beam to scan a small region including a pattern defect after a planarization film is formed on a region including a pattern defect of a phase shift mask to etch the small region. By monitoring a change in the intensity of a secondary signal, the end of an etching process is detected, followed by removal of the planarization film. According to this method, a pattern defect of a phase shift mask which is used in manufacturing an LSI can be corrected in high precision.

18 citations


Patent
14 Sep 1992
TL;DR: In this article, a phase shift layer 2 is provided on a transparent substrate and the light shielding layer 2 of the apertures 4 on which the phase shifters 8 are required is removed.
Abstract: PURPOSE:To lower the approaching effect at the time of plotting with electron beams by dividedly forming the apertures of a light shielding layer on which phase shifters are required and apertures of a light shielding layer on which the phase shifters are not required. CONSTITUTION:The light shielding layer 2 is provided on a transparent substrate 1 and the light shielding layer 2 of the apertures 4 on which the phase shifters 8 are required is removed; thereafter, a phase shift layer 5 is provided on the substrate 1. The phase shift layer 5 and light shielding layer 2 of the apertures 7 on which the phase shifters 8 are not required are then partially removed. Namely, the apertures 4 of the light shielding layer 2 on which the phase shifters 8 are required and the apertures 7 of the light shielding layer 2 on which the phase shifters 8 are not required are dividedly formed. The light shielding layer 2 is exposed and, therefore, the etching stops when the etching of the phase shift layer 5 is finished at the time of forming the apertures 7 of the light shielding layer 2 on which the phase shifters 8 are not required. Further, the unetched parts of the phase shift layer 5 act as masking patterns at the time of etching the light shielding layer 2.

16 citations


Proceedings ArticleDOI
01 Jun 1992
TL;DR: In this paper, a set of electrical linewidth measurement tests sites which cover all five representative lithographic features in combination of dark-field and light-field patterns, positive and negative resists are described.
Abstract: The phase shifting mask technology has quickly progressed from the exploratory phase to a serious development phase. This requires high resolution measurement techniques to quantify experimental results to optimize the designs. This paper describes a set of electrical linewidth measurement testsites which covers all five representative lithographic features in combination of dark-field and light-field patterns, positive and negative resists. The testsites can investigate binary intensity mask, attenuated, alternating, subresolution-assisted, rim, unattenuated, edge, and covered edge phase shifting masks. All testsites can be used with a single-level wafer exposure. There is no need to remove extra shorts or opens induced by uncovered phase shifters.

Proceedings ArticleDOI
09 Jul 1992
TL;DR: In this paper, the authors examined the issues associated with extending high-resolution focused ion beam mask repair to phase-shift masks and showed that the minimum printable defect size, 0.3 microns (on the mask) for I-line steppers at 0.35 microns, is roughly half that for conventional photomasks.
Abstract: Phase-shift photolithography is emerging as an important new technology for sub-half-micron design rule circuits. Unfortunately part of the price paid for the improvements in spatial resolution and process latitude afforded by phase-shift lithography is increased mask defect printing sensitivity. The minimum printable defect size, 0.3 microns (on the mask) for I-line steppers at 0.35 microns, is roughly half that for conventional photomasks. This paper examines the issues associated with extending high resolution focused ion beam mask repair to phase-shift masks.

Patent
Mitsunori Nakatani1
12 Oct 1992
TL;DR: In this paper, a phase shift mask is used as a reticle in a step of forming a resist pattern, so that an exposure pattern applied to a resist is formed in a light intensity distribution having a light contrast kept at constant level, and a fine resist pattern having a predetermined width at the wavelength level of light or less can be provided with high dimensional precision and high reproductivity under constant developing conditions.
Abstract: A phase shift mask in which an edge angle of a phase shifter ranges within 90°± 20° is used as a reticle in a step of forming a resist pattern, so that an exposure pattern applied to a resist is formed in a light intensity distribution having a light intensity contrast kept at constant level. Therefore, a fine resist pattern having a predetermined width at the wavelength level of light or less can be provided with high dimensional precision and high reproductivity under the constant developing conditions. In addition, there is provided on the side wall of the phase shifter of the phase shift mask a light shielding film which, due to its width, can not be resolved as an exposure pattern in itself, so that a region in which the light intensity is reduced from the constant level can be formed corresponding to the width of the light shielding film in the exposure pattern whose image is formed by the projection lens. Therefore, a fine resist pattern having a predetermined width at the wavelength level of light or less can be formed with high dimensional precision and high reproductivity under the constant developing conditions. As a result, a semiconductor device of high performance in which fine processing is performed can be manufactured on a high yield.

Patent
11 Sep 1992
TL;DR: In this paper, the phase shift mask is constituted by successively providing the surface of the transparent substrate with an etching stopper layer 2 consisting of the nitride or carbide of silicon.
Abstract: PURPOSE:To provide the phase shift mask and phase shift mask blank which have the good adhesion of the films formed on a substrate and high productivity and are usable for use with an exposing device using the short wavelength of a KrF excimer laser, etc., as a light source as well as the process for production of the phase shift mask blank. CONSTITUTION:This phase shift mask is constituted by successively providing the surface of the transparent substrate 1 with an etching stopper layer 2 consisting of the nitride or carbide of silicon, a phase shift layer 3 consisting of the oxide of silicon, a light shielding layer 4 mainly consisting of the silicon and an antireflection layer 5 consisting of the nitride or carbide of the silicon and respectively patterning 3', 4'' the phase shift layer 3 and the light shielding layer 4. The phase shift mask blank is constituted by providing the layers before the patterning. This process for production of the phase shift mask blank consists in continuously forming the thin films of the respective layers by a sputtering method, etc., within the same chamber on the transparent substrate 1.

Journal ArticleDOI
Burn Jeng Lin1
TL;DR: In this paper, the attenuated phase shifting mask produces larger depth of focus, requires lower exposure times, and takes up less mask areas than the conventional intensity mask, and a small positive mask bias pushes the improvements towards higher resolution and practical exposure dosages.

Patent
21 May 1992
TL;DR: In this article, a phase shift mask can be inspected by separating and laying out pattern data of the mask in an actual pattern data layer, an auxiliary pattern layer, and a phase-shift pattern layer.
Abstract: Pattern data of a phase shift mask can be inspected: (101) by separating and laying out pattern data of a phase shift mask in an actual pattern data layer, an auxiliary pattern data layer and a phase shift pattern data layer; (102) by inspecting and correcting only the data of the actual pattern of the actual pattern data layer; (103) by making data of an estimated pattern estimated to be transferred to a semiconductor wafer from the data of the synthetic data of the correct actual pattern data, the auxiliary pattern data and the phase shift pattern data, which are inspected and corrected; and (104) by comparing the estimated pattern data and the actual pattern data to inspect the data of the auxiliary pattern and the phase shift pattern.

Patent
07 May 1992
TL;DR: In this article, a sidewall phase shift mask is formed on both sides of each phase shift film in a spatial frequency modulation type phase shift masks to reduce the light intensity occurring from the end part of the phase shift films, as the forming factor of the bridge pattern film.
Abstract: In order to manufacture a phase shift mask for hyperfine patterning, a sidewall phase shift mask is formed on both sides of each phase shift film in a spatial frequency modulation type phase shift mask Accordingly, the light intensity occurring from the end part of the phase shift film, as the forming factor of the bridge pattern film, is reduced by the sidewall phase shift film

Patent
13 Nov 1992
TL;DR: In this article, the authors proposed a system to detect defects by projecting illumination light for exposure having a certain wavelength perpendicularly onto a phase shift mask to be examined; picking up, by means of an image acquisition section, two pattern images which are formed from the irradiated light having passed through two neighboring dies on the phase shift masks and image-formed individually through respective magnifying projection optical systems, and superposing the image patterns of two dies through an alignment to compare therebetween.
Abstract: In the system according to the present invention detects defects by projecting illumination light for exposure having a certain wavelength perpendicularly onto a phase shift mask to be examined; picking up, by means of an image acquisition section, two pattern images which are formed from the irradiated light having passed through two neighboring dies on the phase shift mask and image-formed individually through respective magnifying projection optical systems, and superposing the image patterns of two dies through an alignment to compare therebetween.

Patent
12 Oct 1992
TL;DR: In this paper, a phase shift mask is constituted of a Rhevenson type phase shift masks and a light shielding film pattern on the same substrate, where chromium constituting the translucent film pattern and molybdenum silicide constituting light shielding mask pattern have the combination in which one substance constituting one pattern has resistance to an etch medium used for an etching for forming another pattern.
Abstract: PURPOSE: To obtain a phase shift mask having a halftone type phase shift mask with a translucent film pattern and a phase shift mask with a light shielding film pattern on the same substrate. CONSTITUTION: On a transparent substrate 2, an etching stopping film 3 and a phase shift layer 4 forming a prescribed pattern are successively formed. The light shielding film pattern 5 consisting of chromium is formed on the phase shift layer 4 formed at a region A and the translucent film pattern 6 consisting of molybdenum silicide is formed on the phase shift layer 4 formed at a region B. Thus the phase shift mask is constituted of a Rhevenson type phase shift mask and the halftone type phase shift mask formed on the same substrate. Chromium constituting the translucent film pattern and molybdenum silicide constituting the light shielding film pattern have the combination in which one substance constituting one pattern has resistance to an etching medium used for an etching for forming another pattern. COPYRIGHT: (C)1994,JPO&Japio

Proceedings ArticleDOI
01 Jun 1992
TL;DR: In this paper, the authors demonstrate multiple exposure (or "vote-taking") lithography methods for eliminating the effect of phase shift mask defects on the wafer, where two or more exposures are made for a single wafer lithography level using multiple masks.
Abstract: Repairing phase shift structures on phase shift masks (PSMs) presents formidable challenges. Requirements for PSM repair go far beyond those needed for conventional chrome masks, where pinholes are made opaque and pindots are removed from the surface with what are essentially two-dimensional processes. Defects in the phase material, such as inclusions, chips, or excess material must be repaired to leave optically correct, three-dimensional structures. Unavailability of equipment and methods to handle phase shift defects is one of the greatest barriers to the routine commercial acceptance of phase shift mask technology. In this paper we will demonstrate multiple exposure (or "vote-taking") lithography methods for eliminating the effect of PSM defects on the wafer. Two (or more) exposures are made for a single wafer lithography level using multiple masks. The probability of a random defect occurring in the same location on separate masks is virtually nil. Therefore, dark defects - the consequence of defective phase structures - caused by any single exposure are overprinted by the other exposure(s). This technique also can provide relief from other potential error sources unique to PSMs. Wafer CD uniformity can be improved. Dimensional variations caused by transmission differences between phase and non-phase features will be averaged out by reversing the phase sense among the masks. PSM layout flexibility is enhanced. Normally, abrupt phase transitions (between 0° and 180°) within a bright region will print a dark band. By eliminating this "defect" through complementary exposures, such phase transitions can be used to help meet the boundary conditions imposed by a PSM layout.

Patent
19 Feb 1992
TL;DR: In this article, the white defect of a phase shift mask is corrected by applying SOG, irradiating only the white defective part with energy and turning the part into SiO2.
Abstract: PURPOSE:To easily correct the white defect of a phase shift part by applying SOG, irradiating only the white defective part with energy and turning the part into SiO2. CONSTITUTION:A light shielding part 10, a light transmitting part 12 and the phase shift part 11 are provided on a substrate 1. Then, the SOG is applied and the white defect part 2 is corrected by irradiating only the white defective part 2 with the energy and turning the part into SiO2. Besides, the SOG can be applied by dissolving it in solvent and the SOC which contains silicon and which can be applied by dissolving it in the solvent such as organic solvent is desirebly used. Thus, the white defect 2 of the phase shift part 11 of a phase shift mask is easily corrected.

Proceedings ArticleDOI
01 Jun 1992
TL;DR: In this article, a phase shift mask structure with a Chromium(Cr)/SOG/Quartz(Qz) structure was investigated, where Spin-on-grass (SOG) is used as the phase shifter material.
Abstract: The deviation of phase shift angle from 180° seriously deteriorates the focus latitude. In order to obtain the expected performance of phase shift mask, a Chromium(Cr)/Phase-Shifter/Quartz(Qz) structure is investigated. In this phase shift mask structure, the shifter thickness i.e., phase shift angle, can be precisely controlled, compared with a conventional Shifter/Cr/Qz structure. Spin-on-grass(SOG) is used as the phase shifter material because of its excellent thickness uniformity. Alternating phase shift mask that has the Cr/SOG/Qz structure was fabricated using Ar-laser writing method, and evaluated using a NA=0.45, 6=0.3-0.5,I-line stepper. Obtained results show that this phase shift mask structure is very promising for the subhalfmicron pattern formation.

Patent
27 Nov 1992
TL;DR: In this paper, the Levinson type phase shift mask was used to provide a fine pattern formation method which improves resolution and enables easy and high resolution pattern transfer without causing complication of mask manufacturing process and shifter arrangement algorithm when forming a desired resist pattern.
Abstract: PURPOSE: To provide a fine pattern formation method which improves resolution and enables easy and high resolution pattern transfer without causing complication of mask manufacturing process and shifter arrangement algorithm when forming a desired resist pattern by using a Levinson type phase shift mask. CONSTITUTION: In a fine pattern formation method wherein a pattern formed in a mask 10 is transferred to a wafer 16 through a projection optical system 15, the mask 10 is such that a mask part corresponding to a desist pattern is an opening part 13, the other mask part is a light screening part 12, and phase shifters 14 for sifting the phase of illumination light by 180° are arranged in every other opening parts 13. After transmitted image of the mask 10 is exposed to a positive resist 17 applied to the wafer 16, heating treatment is performed in vapor of basic substance. After exposure is performed for the resist 17, development is carried out. COPYRIGHT: (C)1994,JPO&Japio

Journal ArticleDOI
TL;DR: In this paper, the authors compared the performance of phase shift and transmission masks with the DESIRE process at 248 nm and showed that the phase shift mask with DESIRE can achieve the state-of-the-art performance.

Patent
13 Oct 1992
TL;DR: In this paper, a black defective region 4 enclosed by the pattern 2 of a mask is irradiated with ion beams 5 while feeding a reactive gas to the region 4 and removed by etching.
Abstract: PURPOSE:To suppress the reduction of the quantity of light transmitted at the time of transfer. CONSTITUTION:A black defective region 4 enclosed by the pattern 2 of a mask is irradiated with ion beams 5 while feeding a reactive gas to the region 4 and the region 4 is removed by etching. Ions penetrate hardly into the substrate of the mask at the time of irradiation with the ion beams 5 and the reduction of the quantity of light transmitted at the time of transfer is suppressed.

Proceedings ArticleDOI
Amalkumar P. Ghosh1, Derek B. Dove1
01 Jun 1992
TL;DR: In this article, a transmission optical interferometer based on a modification of an optical, laser scanning reflection profilometer was used for direct phase shift measurements in phase shift masks.
Abstract: We have made direct phase shift measurements in phase shift masks using a transmission optical interferometer based upon a modification of an optical, laser scanning reflection profilometer. Measurements were carried out at 632.8 nm in transparent samples that consisted of thin films of SiO2 on fused silica substrates and thin films of SiO2 and Al2O3 on fused silica substrates. Measurements were also performed on attenuated phase shift mask blanks. The phase values measured at 632.8 nm were corrected for refractive index and wavelength for 248 nm.© (1992) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
21 Aug 1992
TL;DR: In this article, the shifter having the characteristics equal to the characteristics of the non-corrected part is formed, which is a phase shift mask that is used to correct the drop-out part of a shifter material.
Abstract: PURPOSE:To correct the drop-out part of the shifter material of a phase shift mask. CONSTITUTION:An acid (H ) is generated by ionization radiations in the acid generating agent of the white defect part 5 or the part is irradiated with an ion beam of H to generate the acid. After water 9 is adsorbed in the generated acid, the adsorbed water 9 and an org. silicon compd. 10 are made to react by a CVD method to deposit the shifter material (SiO2) 11 in the drop-out part. As a result, the shifter having the characteristics equal to the characteristics of the non-corrected part is formed.

Journal ArticleDOI
TL;DR: In this paper, modified illumination and phase shift mask technologies have been developed in order to improve the depth of focus and resolution limit of a photolithography system and applied them to the step and repeat exposure system.
Abstract: Various methods have been developed to overcome the limitations in photolithography. Modified illumination and phase shift mask technologies have been developed in order to improve the depth of focus and resolution limit. We have combined these two methods and applied them to the step and repeat exposure system. Experiments using the modified illumination were carried out and subhalf-micron patterns were produced. The process latitude of 64M dynamic random access memory (DRAM) is doubled by this combination process.

Patent
10 Nov 1992
TL;DR: In this article, a defect inspecting device for a phase shift mask whose phase shift part and transparent part are easily discriminated was proposed. But the defect detector was used to discriminate whether or not there is a defect.
Abstract: PURPOSE:To provide a defect inspecting device for a phase shift mask whose phase shift part and transparent part are easily discriminated. CONSTITUTION:A downward lighting system and a transmission lighting system are provided with light sources 11 and 21, aperture stops 12 and 22, wavelenght selection filters 13 and 23, and lens systems respectively. The wavelength of downward illumination light is selected so as to meet conditions under which the phase shift part is seen brightly by interference between reflected light beams from the top surface and reverse surface of a phase shift film and the wavelength of transmitted illumination light is selected so as to satisfy conditions under which the phase shift part is seen darkly. The transmitted light and/or reflected light from the mask passes through an objective lens 2 to form a pattern image on an image pickup element 4. The signal from the image pickup element 4 is compared by a comparing circuit with design data, etc., to discriminate whether or not there is a defect. Further, the pattern image is displayed even on a monitor 10 and a light shield part, the phase shift part, and the transparent part are seen in different colors in the presence of both downward lighting and transmission lighting.

Proceedings ArticleDOI
Kazuya Kamon1, T. Miyamoto, Y. Myoi, M. Fujinaga, H. Nagata, M. Tanaka 
02 Jun 1992
TL;DR: In this article, a modified annular illumination method known as PHOENEX (Photolithography enhanced by modified exposure) is discussed, which effectively cuts off the background element, which does not contribute to the imaging.
Abstract: A modified annular illumination method known as PHOENEX (Photolithography enhanced by modified exposure) is discussed. Compared with annular illumination, the modified illumination effectively cuts off the background element, which does not contribute to the imaging. In this study, the process latitudes of the modified illumination are evaluated using experiments and simulations. The DOF limit is doubled compared to the conventional method. The optical contrast is improved by the shifter-shade-type phase shift mask. The resolution limit is also improved by the modified illumination. >