scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 1999"


Journal ArticleDOI
TL;DR: In this article, a low energy electron(e)-beam proximity projection lithography is proposed for integrated circuit lithography for minimum feature sizes ⩽0.1 μm, which does not suffer from the space charge effect in the electron optics column nor the proximity effect with respect to both the wafer and mask.
Abstract: Low energy electron(e)-beam proximity projection lithography is proposed for integrated circuit lithography for minimum feature sizes ⩽0.1 μm. This new e-beam lithography is similar to optical projection lithography except that photons are replaced by low energy electrons of 2 kV. The low e-beam energy permits the use of single crystal 0.5 μm thick silicon membrane masks without an absorbing metal layer of high atomic number. This membrane mask is thick enough for good heat conduction and thin enough for feature sizes ⩽0.1 μm. The mask distortion caused by the fabrication can be corrected by a fine-tuning deflector. Therefore the mask is allowed to have a residual distortion of more than 100 nm. The proposed system does not suffer from the space charge effect in the electron optics column nor the proximity effect with respect to both the wafer and mask writings, and it is fundamentally a low power lithography which needs no special cooling system. The analysis shows that the e-beam column can be made enti...

36 citations


Patent
13 Dec 1999
TL;DR: In this paper, a charged beam lithography system is designed to cause the surface of a substrate to be irradiated with the charged beams from the charged particle gun while continuously moving a stage, to write a desired pattern for each of stripes defined by the maximum deflection widths of the main deflecting system and the sub-deflecting system.
Abstract: A charged beam lithography system includes a charged particle gun for generating charged beams, a main deflecting system and a sub-deflecting system for deflecting the charged beams generated by the charged particle gun, and a control computer. The charged beam lithography system is designed to cause the surface of a substrate to be irradiated with the charged beams from the charged particle gun while continuously moving a stage, to write a desired pattern for each of stripes defined by the maximum deflection widths of the main deflecting system and the sub-deflecting system. The charged beam lithography system further comprises: a real time proximity effect correcting circuit for calculating an optimum dosage for each of the stripes by correcting the dosage of the electron beams in view of the influence of the proximity effect; and a cash memory for storing the optimum dosage data for at least two of the stripes. Thus, the charged beam lithography system is designed to shift a divided form of the whole written region using the stripes at each wiring number of times, by a predetermined distance from a predetermined reference position in a direction perpendicular to a stage continuous moving direction, while selectively extracting the optimum dosage data from the cash memory so as to correspond to each of written stripes at each writing number of times, to write patterns. During the writing operation, the optimum dosage data corresponding to the next region to be written are transferred from the real time proximity effect correcting circuit to the cash memory, and the real time proximity effect correcting circuit calculates optimum dosages for the stripes corresponding to regions to be written after next and thereafter.

29 citations


Journal ArticleDOI
TL;DR: In this paper, a low-energy e-beam proximity lithography (LEEPL) is proposed as the simplest integrated circuit lithography for minimum feature sizes ≤ 0.1 µm.
Abstract: Low-energy e-beam proximity lithography (LEEPL) is proposed as the simplest integrated circuit lithography for minimum feature sizes ≤0.1 µm. This new e-beam lithography is similar to 1× X-ray proximity lithography except that the X-ray beam is replaced with a beam of low-energy electrons of 2 kV. This low e-beam energy permits the use of single-crystal 0.5-µm-thick silicon stencil masks without an absorbing metal layer of high atomic number. This membrane mask is thick enough for good heat conduction and thin enough for feature sizes ≤0.1 µm. Mask distortion caused by fabrication can be corrected by a fine-tuning deflector. Therefore, a mask with a residual distortion of more than 100 nm is acceptable. This eliminates the main difficulty of X-ray proximity lithography. The proposed system is not affected by a space-charge effect in the electron optics column, and a proximity effect with respect to both wafer and mask writings, and it is fundamentally low-power lithography which needs no special cooling system. The analysis shows that the e-beam column can be made entirely of electrostatic components to achieve sufficient resolution. For an appropriate resist process for this low-energy e-beam, we propose a bilayer process such as the chemical amplification of resist lines (CARL) process which consists of a chemically amplified thin deep ultraviolet (DUV) photoresist and a thick planarizing layer as a starting point. We estimated a throughput of about 40 12 inch wafers per hour and a resolution of a significantly less than 50 nm.

24 citations


Proceedings ArticleDOI
25 Jun 1999
TL;DR: In this paper, a piezoelectrically-scanned microlens array above the mask and an apodizing function was added to the reduction lens system to eliminate optical proximity effects by breaking the image into an array of dots that are moved between pulses of the stepper's laser.
Abstract: Thermoresists offer the possibility of greatly enhanced resolution and process window, mainly depth of focus, using conventional masks and modified conventional steppers. Unlike photoresists, which respond to total exposure, thermoresists ignore all exposures below their threshold provided that the exposures are separated in time by more than a few tens of nanoseconds. This allows thermoresists to ignore sidelobes and stray light that result from improving the resolution and depth of focus if nearby features are not imaged at the same time. Conventional steppers can be modified by adding a piezoelectrically-scanned microlens array above the mask and by adding an apodizing function to the reduction lens system. The microlens array eliminates all optical proximity effects by breaking the image into an array of dots that are moved between pulses of the stepper's laser, causing image features to be separated in time as well as space. Thermoresists also offers an advantage when imagin on non-planar materials if the intensity of the exposure is adjusted so that image spots will only reach the threshold of the resist when they are in focus. By making multiple image exposures with the focus shifted towards and away from the resist, a high-resolution image can be produced on a rough surface.

23 citations


Proceedings ArticleDOI
25 Aug 1999
TL;DR: In this article, the authors used shot time modulation as a proximity correction to reduce the critical dimension (CD) change caused by strong proximity effect and a large resist heating effect in the case of high acceleration e-beam writing.
Abstract: Higher resolution and accuracy are required in e-beam lithography for reticle fabrication for coping with further advances in optical lithography. The trend is to use high acceleration voltage (50 kV) e-beam to improve spatial resolution. However, in the case of high acceleration e-beam writing, a drastic critical dimension (CD) change is caused by a strong proximity effect and a large resist heating effect. The proximity effect is caused by the increase in the back- scattering radius. The back-scattering radius was estimated by two independent observations of the CD variation of a monitor and the thickness variation of a partially developed resist. It is found to be ca. 15 nm. Using the shot time modulation as a proximity correction reduced the proximity effect to a small level: CD error due to the pattern density change remained within 10 nm. On the other hand, the resist heating effect is caused by the change in resist dissolution speed by the temperature rise of the resist. In reducing this effect, multi-pass writing is found to be effective. The range of the CD error of 2 micrometer lines-and-spaces in the writing field has been reduced from 22 nm to 6 nm by changing the writing from one pass to four passes for a conventional resist. Moreover, when a chemically amplified resist (CAR) is exposed through one-pass writing, the range of the CD error is found to be 8 nm. Therefore, the use of the CAR is effective in reducing the resist heating effect. Simulation software ProBEAM/3D and TEMPTATION were used to obtain three- dimensional resist profile and the transient temperature rise of the resist, respectively. Both provided results that agreed well with those by experiment.

17 citations


Patent
04 Jun 1999
TL;DR: In this article, a region in which the correction of a pattern strain due to an optical proximity effect in the exposure operation of a second pattern is executed to a first pattern comprising a periodic structure used to resolve a fine line is formed.
Abstract: PROBLEM TO BE SOLVED: To improve an obtained pattern in the multiple exposure operation of a pattern compring a periodic structure and an ordinary exposure pattern by forming a region in which the correction of a pattern strain due to an optical proximity effect of a second pattern is executed to a first pattern comprising a periodic structure used to resolve a fine line. SOLUTION: A region in which the correction of a pattern strain due to an optical proximity effect in the exposure operation of a second pattern is executed to a first pattern comprising a periodic pattern used to resolve a fine line is formed. That is to say, a periodic pattern region which is overlapped with the fine line in a direction at right angles to a period is shielded by Cr so as to become an isolated line for a light shielding operation. When a periodic pattern in which the isolated line is made thick is used, the line width of a pattern comprising the periodic structure is made equal to the line width of the isolated line. The line width of the isolated line is changed by the line width of a fine line which is to be created finally. When the isolated line is plated in the region of the periodic pattern overlapped with the fine line, the line width of the isolated line is made a little thickner than the line width of the fine line, and an optical proximity effect is corrected. COPYRIGHT: (C)2000,JPO

15 citations


Journal ArticleDOI
TL;DR: In this article, a fast simulator for e-beam lithography called SELID is presented, where an analytical solution based on the Boltzmann transport equation is used instead of Monte Carlo.

12 citations


Patent
San-De Tzu1, Chia-Hui Lin1
18 Mar 1999
TL;DR: In this paper, a method of correcting or compensating for errors encountered in the transfer of patterns is disclosed for use with high resolution e-beam lithography, where optical proximity effects and etching effects are incorporated into the proximity effects by superimposing the two effects to arrive at a compensated dosage level database to produce the desired patterns.
Abstract: A method of correcting, or compensating for errors encountered in the transfer of patterns is disclosed for use with high resolution e-beam lithography. In a first embodiment, optical proximity effects are incorporated into the e-beam proximity effects by superimposing the two effects to arrive at a compensated dosage level database to produce the desired patterns. In a second embodiment, etching effects are also superimposed on the previous driving database by compensating the e-beam proximity data twice, that is, by over correcting it, to further improve the transfer of patterns without the undesirable effects. It is shown that corrections for a number of other process steps can also be incorporated into the database that drives the e-beam lithography machine in order to achieve high resolution patterns of about one-quarter-micron technology.

12 citations


Proceedings ArticleDOI
25 Jun 1999
TL;DR: In this paper, the resolution capabilities of proximity x-ray lithography (PXRL) system were discussed and the exposure characteristics of features designed at 150 nm pitch size: 75 nm dense lines with 1:1 duty ratio, 2D features at 1: 1 and 1:2 duty ratios and isolated lines have been studied.
Abstract: This paper discusses the resolution capabilities of proximity x-ray lithography (PXRL) system. Exposure characteristics of features designed at 150 nm pitch size: 75 nm dense lines with 1:1 duty ratio, 2D features at 1:1 and 1:2 duty ratios and isolated lines have been studied. Aerial image simulations were compared to the experimental data. Verification of the aerial image model has been accomplished by measurements of exposure windows of 100 nm and 125 nm nested lines. The PXRL aerial image parameter, equivalent penumbra blur, has been determined from the experimental data. Contributions from the synchrotron radiation x-ray source, stepper and the chemically amplified resist to the degradation of the aerial image have been evaluated. Patterning capability of PXRL at 75 nm feature size is compared to projection optics using the optical k1 factor as a common figure of merit. To facilitate the comparison, optical imagin was at pattern sizes currently manufacturable by the mainstream optical tools while the PXRL imaging was at 75 nm pattern size. Requirements for a PXRL system of manufacturing VLSI at 70 nm minimum feature sizes with the critical dimension control better than 10 percent are also discussed.

11 citations


Proceedings ArticleDOI
25 Jun 1999
TL;DR: In this article, the authors analyzed and demonstrated the possibility of producing lithographic images at or below the "diffraction limit" for synchrotron radiation-based x-ray proximity lithography.
Abstract: This paper analyzes and demonstrates the possibility of producing lithographic images at or below the 'diffraction limit' for synchrotron radiation-based x-ray proximity lithography. It is shown that at reasonable mask/wafer gaps of 15-30 micrometers , for feature sizes down to approximately 100 nm, a 30-40 nm uniform positive bias is observed. In proximity lithography, masks with clear features on a dark background demonstrate better linewidth control and more stable process optimization in terms of achieving smaller features: Sub-100 nm imaging requires positive bias for mask features: clear features have to be increased in sizes and the proper bias will depend on the mask/wafer gap. Features down to 43-46 nm have been formed in negative resists, and down to 60 nm in positive resist. The extendibility of synchrotron radiation-based x-ray proximity lithography into the sub-50 nm region at reasonable mask/wafer gaps of 20-30 micrometers was demonstrated.

9 citations


Journal ArticleDOI
TL;DR: In this article, an original heat function approach was developed and implemented with the TEMPTATION (Temperature simulation) software tool, which simulates heat transfer throughout a multilayer substrate.

Proceedings ArticleDOI
30 Dec 1999
TL;DR: In this article, a fine pattern reticle with minimum target sizes under 720 nm was achieved with a dry etching process using ZEP-7000 blanks, which was shown that adjusted develop condition weakens thinning effect of resist in sub-micron area due to proximity effect of exposure.
Abstract: Process optimizations have been done to produce 'Fine Pattern' reticles whose minimum target sizes are under 720 nm. 'Zero Bias' process for binary Cr reticles can be achieved with our dry etching process using ZEP-7000 blanks. MEBES-4500 exposure on resist films of 300 nm and dry etching with Magnetic Enhanced Reactive Ion Etching (MERIE) system are adopted. It is shown that adjusted develop condition weakens thinning effect of resist in sub-micron area due to proximity effect of exposure, and MERIE system with Gas Assist Etching (GAE) also improves pattern resolution. CD shift due to 'Loading Effect' is small and resist patterns are perfectly printed as Cr pattern with negligible deterioration of CD linearity. Much improved CD distribution in the area of 132 mm square can be obtained. In production of MoSiON-based attenuated-PSM (Att- PSM), CD shifts between Cr and MoSiON except OPC patterns (such as serif and scattering-bar) can be estimated about 30 nm. It is also shown that there are few defects after dry etching, and 'Zero Defect' reticles are obtained in most cases. Through the all results, validity and probability of our process to produce 'Fine Pattern Reticles' near the half- micron are discussed.

Patent
08 Oct 1999
TL;DR: In this paper, a method of performing optical proximity corrections of a photo mask pattern by using a computer was proposed, where the photo mask patterns are formed on a photolithography for forming a predetermined original pattern by exposing a photo resist layer in a predetermined area of a semiconductor wafer.
Abstract: The present invention provides a method of performing optical proximity corrections of a photo mask pattern by using a computer. The photo mask pattern is formed on a photo mask which is used when performing photolithography for forming a predetermined original pattern by exposing a photo-resist layer in a predetermined area of a semiconductor wafer. The photo mask pattern is divided into a plurality of rectangular blocks. Each block can be bright or dark, and a least one side and two corners of the block are shared with another block. Each of shared corners is checked to find corners which may be affected by an optic proximity effect, and those corners are modified so as to prevent them from being affected by the optic proximity effect.

Patent
Mamoru Nakasuji1
28 May 1999
TL;DR: In this article, reticles are provided for performing charged-particle-beam microlithography in which degradations in transfer accuracy arising from the space charge effect and/or resist heating are reduced.
Abstract: Methods and reticles are provided for performing charged-particle-beam microlithography in which degradations in transfer accuracy arising from the space-charge effect and/or resist heating are reduced A reticle is divided into multiple exposure units (eg, subfields) each having at least one pattern feature, and each exposure unit is divided into multiple subunits Certain features include non-exposed regions having dimensions larger than the resolution limit of the projection-optical system used to project the reticle pattern onto the substrate Also, the non-exposed regions are desirably smaller than the dimensional limit at which resolution is impossible due to the proximity effect With stencil reticles, the non-exposed regions are preferably provided at boundaries between complimentary pairs of large-dimension features inside exposure units having different feature densities The non-exposed regions absorb backscattered electrons from the exposure doses received by surrounding portions of the feature The dose represented by the backscattered electrons is typically above a threshold value for developing the resist Such features reduce the feature-density variation of the pattern as defined on the reticle

Proceedings ArticleDOI
Huitzu Lin1, John Lin1, Ching Siun Chiu1, Ying-Ying Wang1, Anthony Yen1 
30 Dec 1999
TL;DR: In this paper, the authors discuss items that concern both photolithographers and mask-makers as assisting features are applied in manufacturing, including mask error factor (MEF), depth of focus (DOF) improvement, AF line width control, lithographic impact caused by the drift of the mean value of mask CD, defect printability in resist, and defect sensitivity during mask inspection.
Abstract: The downscaling of critical dimensions (CD) in semiconductor circuits has been pushing photolithography to print features below the wavelength of the light source. However, severe proximity effect and small DOF for isolated lines have brought challenges to sub-0.18 micrometer lithography in manufacturing using 248 nm scanners. To improve proximity effect and DOF for isolated lines, assisting features (AF) on masks are considered. However, the practical application of this technique has been limited because of difficulties in mask fabrication. In this paper, we discuss items that concern both photolithographers and mask-makers as AF is applied in manufacturing. These items include mask error factor (MEF), depth of focus (DOF) improvement, AF line width control, lithographic impact caused by the drift of the mean value of mask CD, defect printability in resist, and defect sensitivity during mask inspection.

Patent
07 May 1999
TL;DR: In this paper, a columnar dose 15 at a part corresponding to each light exposure pattern and a dose 17 at another part corresponding to an electron beam exposure pattern 13 are provided.
Abstract: PROBLEM TO BE SOLVED: To provide high-precision correction which is applicable to a high wafer exposure, by correction the proximity effect of backscattering beam of charged particle beam affecting a pattern formed by light exposure and charged particle beam exposure, respectively, by the same method. SOLUTION: A columnar dose 15 at a part corresponding to each light exposure pattern 1 and a dose 17 at a part corresponding to an electron beam exposure pattern 13 are provided. Further, corresponding to backscattering electron amount at electron beam exposure, the electron beam exposure pattern 13 and background dose 6 added to its light exposure pattern 2 are provided. The entire pattern region is partitioned into 8, 9, 11, and 12, etc., which are sufficiently smaller than the expansion width of the backscattering electron of electron beam exposure. An area factor of the electron beam exposure pattern for each section including its vicinity is calculated, and backscattering electron amount for each section is calculated. The amount is correctively exposed evenly over the entire transfer region to provide does of a dotted line 14. Here, the corrective exposure amount at the center part of the pattern 13 where the electron beam exposure pattern is tight is set to 0 to decide the entire corrective exposure. COPYRIGHT: (C)2000,JPO

Patent
24 Sep 1999
TL;DR: In this article, a tungsten film is formed on an upper face of a silicon substrate and a positive type of electron beam resist film 16 is created on the upper face, and a plurality of line pattern regions 18 which are arranged in parallel to each other at an equal interval and have the same line width are defined on this film.
Abstract: PROBLEM TO BE SOLVED: To accurately measure, without depending on fluctuations of a beam diameter of electron beams and unrequire measurement each developing condition. SOLUTION: A tungsten film 12 is formed on an upper face of a silicon substrate 10, and a positive type of electron beam resist film 16 is formed on the upper face. A plurality of line pattern regions 18, which are arranged in parallel to each other at an equal interval and have a same line width, are defined on this film. Different dose amounts of electron beams are irradiated on each line pattern region 18, respectively. An electron beam resist film on which electron beams are irradiated is developed, and a resist line pattern 16a of a pattern corresponding to the line pattern region 18 is obtained. A resist space 22 is formed in a resist removal part. The linewidth of this resist space is measured, whereby an electron width dose amount dependence of the linewidth of the resist line pattern is obtained. The electron width dose amount dependence of the linewidth of the resist line pattern obtained by the measurement is compared with the electron width dose amount dependence of the linewidth of the resist line pattern, and proximity effect parameters are obtained.

Patent
16 Mar 1999
TL;DR: In this paper, an attenuated phase shifter is used as a mask for anisotropic etching to form a semiconductor pattern with high resolution by variably setting the light transmittance according to the density of a pattern to be exposed.
Abstract: PROBLEM TO BE SOLVED: To correct an optical proximity effect with high accuracy and to form a semiconductor pattern with high resolution by variably setting the light transmittance of a phase shifter according to the density of a pattern to be exposed. SOLUTION: A resist 2 is applied on a transparent substrate 1 comprising quartz or the like having thickness 6d and is exposed through a mask to form a resist pattern. The obtd. pattern is used as a mask for anisotropically etching to form grooves having depth 5d in the substrate 1. An attenuated phase shifter 3 is deposited in the formed grooves having the depth 5d by a CVD method, etc. The upper face of the substrate is flattened by an etch-back method or the like to expose the substrate 1. In the same process, grooves having depth 3d and depth (d) are formed and an attenuated phase shifter 3 is deposited in each of the grooves. The grooves are preferably formed in such a manner that the depth of the grooves is (d) in the thinnest part and the depth is set to (2n-1)d (wherein n is an integer >=1) according to the density of a pattern to be exposed.

Patent
28 May 1999
TL;DR: In this article, a projection reticle having an apertured weakly scattering membrane with selective strongly scattering regions between the apertures in the membrane is constructed to compensate for the proximity effect and the local Coulomb effect.
Abstract: Proximity (exposure dose) effects and/or local Coulomb (space charge defocussing) effects, both dependent on local pattern density of exposed areas, are simultaneously compensated in a charged particle beam projection device or tool by a projection reticle having an apertured weakly scattering membrane with selective strongly scattering regions between the apertures in the membrane. A reticle so constructed provides at least three independent exposure dosage levels that can be mixed to provide a wide range of exposure levels with high contrast. The more weakly the electrons are scattered (in the extreme, the electrons are not scattered at all through apertures), the greater the number that pass through a given beam contrast aperture, the higher the corresponding dose received at the target plane and the more space charge is contained in the beam bundle. Therefore, to compensate for the proximity effect (i.e. provide dose boost) and the local Coulomb effect (i.e. provide additional space charge) regions with reduced scattering characteristics are employed. Use of a hybrid doped resist allows exposure corresponding to an intermediate exposure dose in a preferred variant implementation exploiting the three dosage levels developed.

Proceedings ArticleDOI
30 Dec 1999
TL;DR: In this paper, the effect of resist contrast on the process window is examined by simulating ZEP 7000 resist and comparing it to resists with other contrasts, and the effects of dose, develop time, data bias and spot size on process window are also examined.
Abstract: As the requirements for photomask linewidth control continue to tighten, the necessity for performing proximity correction for electron beam mask exposure will increase. GHOST proximity effect correction is one method that can be used to ensure that critical dimension linearity over a large range of feature sizes meets mask user requirements. The GHOST strategy uses an additional exposure to correct for the backscatter component of the primary exposure. Because of the way the image using a GHOST correction is constructed, image contrast will be lower than exposures done without GHOST. This paper uses simulation to examine the process window that is available when GHOST is used and this process window is compared to that without GHOST. The effect of resist contrast on the process window is examined by simulating ZEP 7000 resist and comparing it to resists with other contrasts. The effects of dose, develop time, data bias and spot size on the process window are also examined.

Patent
16 Feb 1999
TL;DR: In this article, a light shield film pattern and a serif figure related to it constituting a layout-designed exposure pattern are formed, and a figure-operated operation for correcting the light proximity effect is performed.
Abstract: PROBLEM TO BE SOLVED: To simplify operation and data processing required for correcting a light proximity effect of a light shield film pattern. SOLUTION: A serif figure 37 related to a light shield film pattern 35 constituting a layout-designed exposure pattern is formed. The light shield film pattern 35 and the serif figure 37 are figure-operated, and the light shield film pattern 35 is corrected, and the light proximity effect at the time of exposing by this light shield pattern 35 is corrected. Then, the operation processing required for correcting the light proximity effect for the light shield film pattern 35 is simplified, and the processing time required for correcting the light proximity effect is shortened.

Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this paper, the authors evaluated the process margins of 193 nm lithography for sub-130 nm applications and verified the possibility of fabricating devices with sub130 nm design rule by ArF lithography, with which they predicted some process issues such as ID bias of cell and peripheral patterns, CD bias of perpendicular axes in island patterns, contact hole patterns below 150 nm, pattern collapse, etc.
Abstract: In this study, we evaluated the process margins of 193 nm lithography for sub-130 nm applications. We have investigated various cell structures and sizes for various illumination conditions such as the partial coherence factors, quadruple illuminations, and Optical Proximity Correction (OPC). We have also studied the Critical Dimension (CD) variation effects of topography with Bottom Anti-Reflective Coating (BARC) materials on various substrates such as silicon, nitride and aluminum. A 0.6 Numerical Aperture (NA) small field ArF stepper and a Hyundai-developed ArF single positive resist were used for this experiment. Internally-developed simulation program diffused aerial image model and Hyundai OPC simulation tool were also used to predict and effectively correct the optical proximity effect. The simulation result were compared with experimental results. Carefully optimizing the process conditions and optical settings, we obtained CD linearity of 190 nm, taking into account isolated-dense (ID) bias. With sub-130 nm VLSI cell pattern, we also verified the possibility of fabricating devices with sub-130 nm design rule by ArF lithography, with which we predicted some process issues such that ID bias of cell and peripheral patterns, CD bias of perpendicular axes in island patterns, contact hole patterns below 150 nm, pattern collapse, etc. Through this study, we verified that the 193 nm lithography could be applied for sub-130 nm technology.

Patent
08 Oct 1999
TL;DR: In this paper, the speed of development and proximity effect parameters were measured, and the measured development speed and values of proximity effect parameter were obtained through calculation to obtain an electron beam absorbing energy distribution for obtaining resist pattern to be formed.
Abstract: PROBLEM TO BE SOLVED: To form a resist pattern of a desired design pattern, by considering, together with the proximity effect, pattern deviation from electron beam absorbing energy distribution which is generated in a developing process. SOLUTION: First, the speed of development and proximity effect parameters are measured (S1). Next, by using the measured development speed and values of proximity effect parameters, an electron beam absorbing energy distribution for obtaining resist pattern to be formed is obtained through calculation (S2). By substituting the coordinates of a pattern edge part into the electron beam absorbing energy distribution, a value Ep of electron beam absorbing energy in an edge part is calculated. A value Ea of electron beam absorbing energy at the pattern central position is obtained form the value Ep of electron beam absorbing energy in the edge part and the electron beam absorbing energy distribution. When the Ep and Ea are known, the electron beam exposure and an electron beam irradiated region which are used for obtaining target electron beam absorbing energy distribution can be obtained.

Proceedings ArticleDOI
06 Jul 1999
TL;DR: In this paper, a fast scheme for determining spatial dose distribution is presented, which is compatible with future multiple-beam or projection-based systems, and a hybrid approach allowing region-wise dose control was proposed previously.
Abstract: Proximity effect correction in E-beam lithography is expected to be an essential step in fabrication of high-density fine-feature circuits in the future. In the past, we demonstrated successful proximity correction by a shape modification approach with a single dose for the entire circuit pattern. This approach has a few advantages over dose modification, including the fact that it is "compatible" with future multiple-beam or projection-based systems. As we continue to reduce the minimum feature size, accuracy of correction becomes more critical. In order to improve correction accuracy of the shape-only modification, a hybrid approach allowing region-wise dose control was proposed previously. In this paper, a practical fast scheme for determining spatial dose distribution is presented.

Patent
17 Nov 1999
TL;DR: In this article, the authors proposed a method to improve dimensional precision by making adjustment of auxiliary exposure for proximity effect correction easy, in a lithography process of manuffacturing a semiconductor device.
Abstract: PROBLEM TO BE SOLVED: To improve dimensional precision by making adjustment of auxiliary exposure for proximity effect correction easy, in a lithography process of manu facturing a semiconductor device. SOLUTION: In an electron beam exposure method of a dividing transfer system, a specified pattern is divided into a plurality of small regions, a partial pattern is formed every small region, exposure is performed every small region and the specified pattern is transferred. A process wherein exposure is performed every small region and the partial pattern is transferred, and a process wherein auxiliary exposure is performed by defocusing a reversal pattern beam of the partial pattern every transfer region of the partial pattern, and proximity effect due to pattern exposure is corrected, are executed. COPYRIGHT: (C)2001,JPO

Patent
09 Jul 1999
TL;DR: In this paper, a photo-sensitive substrate is irradiated with an electron beam for correction through a reticle for correction, and proximity effect caused by irradiation of an electronbeam for pattern formation to a region 23 in a chip region 21 on the substrate 18 is corrected.
Abstract: PROBLEM TO BE SOLVED: To improve lithography precision of a fine pattern by adequately correcting proximity effect even in the peripheral part of a chip region, when the acceleration voltage of an electron beam for pattern formation is increased. SOLUTION: A photo-sensitive substrate 18 is irradiated with an electron beam for correction through a reticle for correction, and proximity effect caused by irradiation of an electron beam for pattern formation to a region 23 in a chip region 21 on the substrate 18 is corrected. Not only a region 24 in the chip region 21 but also a peripheral region 22 outside the chip region 21 on the substrate 18 are irradiated with the electron beam for correction.

Proceedings ArticleDOI
25 Jun 1999
TL;DR: Low energy e-beam proximity projection lithography is proposed for integrated circuit lithography for minimum feature sizes
Abstract: Low energy e-beam proximity projection lithography is proposed for integrated circuit lithography for minimum feature sizes

Patent
17 Nov 1999
TL;DR: In this article, the problem of the shortage in proximity effect in the exposure position of the device pattern at the end, in a pattern exposure mask where the proximity effect arises by the charged beam scattered on the surface of circuit material when exposing the device patterns was solved.
Abstract: PROBLEM TO BE SOLVED: To dissolve the shortage in proximity effect in the exposure position of the device pattern at the end, in a pattern exposure mask where the proximity effect arises in the exposure by the charged beam scattered on the surface of circuit material when exposing the device pattern. SOLUTION: Charged beams are scattered at a beam scattering part 401 made at the edge of an exposure region 201 at the end to which the exposure region 201 is not contiguous, and the proximity effect is equalized by the scattered charged beams. COPYRIGHT: (C)2001,JPO

Patent
30 Jul 1999
TL;DR: In this article, a computer aided design data collection forming the pattern on the mask is prepared, a line 200 and a grid gate pattern 202 are included in the pattern, and the mask was divided into a first and a second patches according to the prepared pattern.
Abstract: PROBLEM TO BE SOLVED: To obtain a proximity effect correcting method used for manufacturing a mask obtained by combining both methods for correcting an electron beam proximity effect and a light proximity effect by calculating the light illuminance of an electronic beam required for correcting the electron beam proximity effect and the light proximity effect, executing electronic exposure operation by using a pattern corrected by the calculated result and manufacturing a photomask. SOLUTION: A computer aided design data collection forming the pattern on the mask is prepared. Then, a line 200 and a grid gate pattern 202 are included in the pattern. Next, the mask is divided into a first and a second patches according to the prepared pattern. Since the density of the pattern is related to the electron beam proximity effect and the contrast of light is related to the light proximity effect, the exposure of the electronic beam is adjusted by both of them so as to obtain the pattern whose proximity effects by the electronic beam and the light are corrected. By using the corrected pattern, the mask is formed by the proper exposure of the electron beam.

Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this article, a precompensation method has been developed to correct the optical proximity effect in the ISI-2802 laser direct write system, which can produce feature sizes up to 0.6 micrometers.
Abstract: Generally, a laser direct writing lithography system can only produce feature sizes larger than its beam spot size. When the feature size is comparable to its spot size, corner rounding and line shortening appears. This is caused by optical proximity effect. The effect is mainly due to light intensity spread in a laser beam which causes the spread of photon energy in resist layer. A new pre-compensation method has been developed to correct the optical proximity effect. The method has been implemented in the ISI-2802 laser direct write system. Feature size down to 0.6 micrometers has been produced with the system which normally can only produce 1 micrometers lithography without proximity correction.