scispace - formally typeset
Search or ask a question

Showing papers on "Silicon dioxide published in 1975"


Journal ArticleDOI
TL;DR: In this paper, X-ray photoelectron spectroscopy (XPS) reveals a shift in the silicon core-level binding energies which varies continuously from 2.4 to 4.2 eV, and a Fermi level shift and differential extra-atomic relaxation energy in the interfacial region must be invoked, in addition to chemical structure considerations, to interpret these data.

107 citations


Patent
30 Dec 1975
TL;DR: Disclosed is a nonvolatile field effect information storage device which can be electrically written and erased as mentioned in this paper, which consists of an insulated gate field effect transistor having a single gate dielectric material formed in two stages, one being relatively thin and adjacent to the semiconductor substrate, while the other being relatively thick and implanted with ions at controlled depths and dosages near the interface with the first silicon dioxide layer.
Abstract: Disclosed is a non-volatile field effect information storage device which can be electrically written and erased. It consists of an insulated gate field effect transistor having a single gate dielectric material formed in two stages. The gate dielectric is made up of two adjacent layers of silicon dioxide, one of which is relatively thin and adjacent to the semiconductor substrate, while the other is relatively thick and implanted with ions at controlled depths and dosages near the interface with the first silicon dioxide layer. With the application of an appropriate control voltage on the gate structure, charges from the adjacent transistor channel region tunnel through the relatively thin layer of silicon dioxide and become stored in the trapping sites introduced by the implanted ions located in the second layer of silicon dioxide and very near the interface between the two silicon dioxide layers. While there, the charges control the conductivity of the channel, and thus the logic state of the transistor.

84 citations


Journal ArticleDOI
TL;DR: In this article, the emission of boron doped silicon has been studied at oxygen partial pressures between 2 × 10−10 and 2 ×10−5 Torr. Sputtering was done with 2 to 15 keV argon ions at current densities between 3 and 40 μ A cm 2.

78 citations



Journal ArticleDOI
TL;DR: In this paper, chemical shifts in the Si KLL spectra are interpreted in terms of phase separation in SiOx for 0
Abstract: Auger electron spectroscopy has been used in a study of chemical structure effects in silicon oxides caused by changes in the over‐all stoichiometry. Thermally grown silicon dioxide and vacuum‐deposited unsaturated silicon oxides SiOx were investigated. Chemical shifts in the Si KLL spectra are interpreted in terms of phase separation in SiOx for 0

45 citations


Patent
Antipov Igor1
30 Jun 1975
TL;DR: In this article, a method is provided for forming recessed silicon dioxide isolation in integrated circuits in which the "bird's beak" problems associated with conventional silicon dioxide-silicon nitride composite masking structures is minimized.
Abstract: In the fabrication of integrated circuits, a method is provided for forming recessed silicon dioxide isolation in integrated circuits in which the "bird's beak" problems associated with conventional silicon dioxide-silicon nitride composite masking structures is minimized. A conventional composite mask comprising a bottom layer of silicon dioxide and an upper layer of silicon nitride having a plurality of openings defining the regions in the silicon substrate which are to be thermally oxidized is formed on a silicon substrate. Recesses are then etched in the silicon substrate in registration with the openings in the composite mask. Then, the silicon dioxide layer is, in effect, over-etched to extend the openings in the silicon dioxide to greater lateral dimensions than the openings in the silicon nitride layer whereby the silicon nitride at the periphery of the openings is undercut. A layer of silicon is then deposited in the recesses covering the undercut portions of said silicon nitride layer. Then, the structure subjected to thermal oxidation whereby the silicon in and abutting the recesses is oxidized to form regions of recessed silicon dioxide substantially coplanar with the unrecessed portions of the silicon substrate. Because of the undercutting and the deposition of silicon in the recesses, the "bird's beak" effect is minimized.

44 citations


Patent
31 Jan 1975
TL;DR: An anodized article and method of absorbing solar energy comprises an alloy layer of aluminum containing up to 18% by weight silicon having a surface matrix layer of aluminium oxide and crystals of silicon dioxide grown from the alloy extending through, bound in and supported by the aluminum oxide matrix as mentioned in this paper.
Abstract: An anodized article and method of absorbing solar energy comprises an alloy layer of aluminum containing up to 18% by weight silicon having a surface matrix layer of aluminum oxide and crystals of silicon dioxide grown from the alloy extending through, bound in and supported by the aluminum oxide matrix. The anodized article may be made by etching the alloy layer to expose virgin alloy and particularly silicon and electrochemically anodizing the etched alloy to grow silicon dioxide crystals and to form an aluminum oxide supporting matrix around the crystals.

36 citations


Patent
28 Nov 1975
TL;DR: In this article, the process involves forming porous silicon regions in the surface of the semiconductor body such as a silicon wafer, in the areas where dielectric isolation between semiconductor devices is desired.
Abstract: A semiconductor device, such as a transistor, integrated circuit or the like, having a pattern of oxidized and densified porous silicon regions extending onto one of its major surfaces for isolating regions of the semiconductor is manufacturable by a relatively simple process. The process involves forming porous silicon regions in the surface of the semiconductor body such as a silicon wafer, in the areas where dielectric isolation between semiconductor devices is desired. The porous silicon regions are then oxidized at a temperature sufficient to completely oxidize the porous silicon. The oxidiation is such that the oxidized porous silicon extends above the surface of the semiconductor wafer. The oxidized porous silicon regions are then subjected to a temperature higher than the oxidizing temperature utilized in the previous step to cause the densification of the oxidized porous silicon regions. The result of this densification step is the collapse of the porous oxide to a dense structure which is substantially planar with the surface of the semiconductor wafer. This densified silicon dioxide structure has an etch rate which is substantially the same as thermally grown silicon dioxide.

35 citations


Patent
13 Nov 1975
TL;DR: In this paper, a tubular glass membrane with wall thicknesses between 5 and 30 microns and reproducible pore sizes between 11 A and 50 A was produced. But this process is not suitable for indoor applications.
Abstract: The invention relates to a process for producing a tubular glass membrane with wall thicknesses between 5 and 30 microns and reproducible pore sizes between 11 A and 50 A. Alkali borosilicate glass is drawn into discrete hollow tubes and immediately cooled. The tubes are thermally treated to effect a phase separation into a coherent silicon dioxide phase and a boron oxide phase rich in alkali borate. The boron oxide phase is leached out with mineral acid. The tubes can be subsequently treated to give enlarged or reduced pores, asymmetric pores and coated surfaces.

31 citations


Journal ArticleDOI
TL;DR: In this paper, the dielectric strength of thermal oxides is adversely affected by exposure to a nitrogen ambient at 1050°C either before or after oxidation, and the damaged layer extends for more than 1 3 μm inside the exposed silicon.
Abstract: Exposure of silicon to a nitrogen ambient at 1050 °C either before or after oxidation can adversely affect the dielectric strength of thermal oxides An exposure of 20–30 min and a nitrogen concentration of about 01 at% in the silicon can produce such effects The damaged layer extends for more than 013 μm inside the exposed silicon

31 citations


Patent
Ashok Kumar Sinha1
13 Jan 1975
TL;DR: In this paper, the surface of the silicon semiconductor substrate suffers damage during such steps as a sputtering type deposition of a metallic electrode layer, and in which the silicon dioxide layer of the MOSFET device is sealed by the combination of metallic electrodes and insulator layers which are impervious to hydrogen, gaseous hydrogen in introduced into the oxide layer prior to the deposition of the metallic layer, thereby trapping hydrogen in the oxide.
Abstract: In a metal-oxide-semiconductor field effect transistor (MOSFET) device, in which the surface of the silicon semiconductor substrate suffers damage during such steps as a sputtering type deposition of a metallic electrode layer, and in which the silicon dioxide layer of the MOSFET device is sealed by the combination of metallic electrodes and insulator layers which are impervious to hydrogen, gaseous hydrogen in introduced into the oxide layer prior to the deposition of the metallic layer, thereby trapping hydrogen in the oxide. The damage in the silicon is thereafter annealed by heating at an annealing temperature subsequent to the deposition of the metallic layer, whereby the trapped hydrogen migrates from the oxide to the silicon surface and repairs to the damage.

Journal ArticleDOI
TL;DR: In this article, the most prevalent reactive diffusion-limited type has been explored for phosphorus in SiO 2 in this work, and two primary mechanisms of masking by silicon dioxide are known.

Journal ArticleDOI
TL;DR: In this paper, high energy backscattered electron signals have been measured from 1-, 3-, and 10-μm wide gold alignment marks on silicon and gallium arsenide substrates as a function of electron beam energy (5 − 30 keV) and gold film thicknesses (650 − 10 1.000 A) using an annular silicon diode detector.
Abstract: High energy backscattered electron signals have been measured from 1‐, 3‐, and 10‐μm‐wide gold alignment marks on silicon and gallium arsenide substrates as a function of electron‐beam energy (5–30 keV) and gold film thicknesses (650–10 000 A) using an annular silicon‐diode detector. Thin films of both silicon dioxide (3500 A) and polymethyl methacrylate (5200 A) on gold alignment marks (2600 A) on silicon reduced the original signal contrast at 30‐keV incident‐beam energy by only 16% (10% and 6%, respectively) and degraded the original edge acuity by only about a factor of two. Signal contrast maxima for gold on silicon and gold on gallium arsenide were found to be 1.64 and 0.86, respectively, while silicon and silicon dioxide steps (∠4000 A) produced no more than 0.08 and 0.04 contrast, respectively. The gold on silicon results are presented with the full realization of the general processing incompatibility of gold on silicon devices and circuits at high temperatures. These results are applicable to ot...

Patent
Bai-Cwo Feng1, Feng George Cheng-Cwo1
30 Jun 1975
TL;DR: In this article, a method is provided for forming recessed silicon dioxide isolation in which the "bird's beak" problem associated with conventional silicon dioxide-silicon nitride composite masking structures is minimized.
Abstract: In the fabrication of integrated circuits, a method is provided for forming recessed silicon dioxide isolation in which the "bird's beak" problem associated with conventional silicon dioxide-silicon nitride composite masking structures is minimized. A conventional composite mask comprising a bottom layer of silicon dioxide and an upper layer of silicon nitride having a plurality of openings defining the regions in the silicon substrate which are to be thermally oxidized is formed on the substrate. Recesses are then etched in the silicon substrate in registration with the openings in the composite mask. The silicon dioxide layer should be, in effect, over-etched to extend the openings in the silicon dioxide to greater lateral dimensions than the openings in the silicon nitride layer whereby the silicon nitride layer at the periphery of the openings is undercut. A layer of a material capable of blocking the oxidation of silicon and having a greater etchability than silicon nitride is then deposited in said recesses and covering said undercut portions of said silicon nitride masks. At this point the structure is blanket etched to remove said blocking material from the portions of the recesses not under said silicon nitride and to, thereby, expose the silicon in these portions. Finally, the structure is thermally oxidized so that the exposed silicon in the recesses oxidizes to form recessed regions of silicon dioxide substantially coplanar with the unrecessed regions of the silicon substrate. Because of the undercutting and the deposition in the undercut portions of the recesses of the blocking material, the "bird's beak" effect is minimized.

Patent
02 Apr 1975
TL;DR: In this paper, a new precipitated silica having a unique combination of physical and chemical properties is disclosed, which is produced by acidulating a solution of an alkali metal silicate having a specific SiO 2 /Na 2 O mol ratio with an acid until precipitation just begins.
Abstract: A new precipitated silica having a unique combination of physical and chemical properties is disclosed. The silicon dioxide is produced by acidulating a solution of an alkali metal silicate having a specific SiO 2 /Na 2 O mol ratio with an acid until precipitation just begins. At this point, the reaction mass is aged for a period of time and thereafter the acid addition is continued until the precipitated product is obtained. Products produced in this manner exhibit lower wet cake moisture and are characterized by their low structure, low oil absorption, high abrasiveness and high pack density, and as such are distinctly different from silicas used as reinforcing fillers in rubber. An adduct material, such as aluminum, is added to control the refractive index and surface area of the precipitated product. Because of the controlled refractive index and other properties, the novel silicon dioxides have particular utility for use as abrasion and gelling agents in clear toothpaste compositions.

Patent
14 Oct 1975
TL;DR: In this paper, a method of making an integrated circuit in which controlled chemical etching of silicon dioxide layers is achieved by the controlled addition of both phosphorus pentoxide and boron trioxide to the silicon dioxide layer.
Abstract: A method of making an integrated circuit in which controlled chemical etching of silicon dioxide layers is achieved by the controlled addition of both phosphorus pentoxide and boron trioxide to the silicon dioxide layers. For a faster rate of etch, the percentage of phosphorus pentoxide is increased and for a slower rate of etch the percentage of boron trioxide is increased.


Journal ArticleDOI
TL;DR: The analytical chromatography of proto, meso, hemato, deutero, and diacetyldeutero hemins, and of MnIII, CoIII, and FeIII mesoporphyrins by tlc on silica gel is described.

Journal ArticleDOI
TL;DR: The functional forms for the growth of X-ray and VUV-induced space charge as a function of photon fluence and applied field, together with the annealing of charge by heat treatment or photo-injection, were the same for each form of radiation as mentioned in this paper.


Patent
07 Jul 1975
TL;DR: In this article, an n-channel insulated gate field effect transistor utilizes a silicon dioxide gate insulator which is centrally located in a region which is rich in treated tantalum, which can be adapted to store negative charge, to getter sodium or to produce non-annealable fast surface states.
Abstract: Charge conditions are modified in a wafer including a silicon dioxide layer on a silicon substrate by introducing a distribution of tantalum into the silicon dioxide layer. The distribution of tantalum can be adapted to store negative charge, to getter sodium or to produce nonannealable fast surface states. A distribution of tantalum at the silicon-silicon dioxide interface produces nonannealable fast surface states. A distribution of tantalum in the silicon dioxide subjected to electrical and temperature stress can store negative charge and getter sodium. An n-channel insulated gate field effect transistor utilizes a silicon dioxide gate insulator which includes centrally located therein a region which is rich in treated tantalum.

Patent
Jacob Riseman1
30 May 1975
TL;DR: In this article, a method is provided for forming dielectrically isolated regions in the silicon substrate comprising selectively etching recesses in a silicon substrate and thermally oxidizing the recessed portions of the substrate to form regions of recessed silicon dioxide extending into the substrate.
Abstract: In the fabrication of integrated circuits, a method is provided for forming dielectrically isolated regions in the silicon substrate comprising selectively etching recesses in a silicon substrate and thermally oxidizing the recessed portions of the silicon substrate to form regions of recessed silicon dioxide extending into the substrate. Then, a blanket introduction of impurities of opposite-type conductivity is made into the portions of the substrate remaining unoxidized, after which a layer of silicon of said opposite-type conductivity is epitaxially deposited on the substrate surface. Next, utilizing appropriate silicon nitride masking, recesses are etched into the silicon epitaxial layer in registration with the now buried regions of recessed silicon dioxide in the substrate. Then, the recessed portions of the silicon epitaxial layer are thermally oxidized to the extent sufficient to form regions of recessed silicon dioxide extending through said epitaxial layer into registered contact respectively with the regions of recessed silicon dioxide formed in the substrate.

Patent
18 Mar 1975
TL;DR: The peak of the implanted ion profile is preferably at the interface between the film and a silicon dioxide layer on the surface of the substrate as mentioned in this paper, where the per cent by weight of silicon implanted into the metal film is greater than the per percent by weight solubility of silicon in aluminum at the maximum processing temperature.
Abstract: Silicon ions are implanted in an aluminum or aluminum-copper film forming an electrode layer over a silicon dioxide layer on a semiconductor. The per cent by weight of silicon implanted into the metal film is greater than the per cent by weight of solid solubility of silicon in aluminum at the maximum processing temperature of the substrate that occurs after implantation. The peak of the implanted ion profile is preferably at the interface between the film and a silicon dioxide layer on the surface of the substrate.

Patent
10 Jul 1975
TL;DR: In this paper, a superdry silica aerogel free of adsorbed water and hydrogen-bonded silanol groups was shown to have a single narrow intense band at about 3700 cm.sup.
Abstract: A highly active superdry silica aerogel free of adsorbed water and hydrogen-bonded silanol groups the infrared spectrum of which is characterized by a single narrow intense band at about 3700 cm.sup. -1 within the range between 4000 and 2000 cm.sup. -1 indicating the presence of free silanol groups and which spectrum is further characterized by the absence of bonds indicating the presence of adsorbed water and hydrogen-bonded silanol groups, the said silica aerogel having been produced by subjecting a pyrolytically produced silica aerogel containing adsorbed water and both free and hydrogen-bonded silanol groups to treatment in a fluidized bed at a temperature between about 700° and 1000° C and at a pressure between 2 torrs and atmospheric pressure for a period between 1 second and a few minutes through which fluidized bed a stream of a dried gas that had been preheated to a temperature between 100° and 300° C was passed.

Book ChapterDOI
TL;DR: In this article, electron spin resonance spectroscopy was used to detect the formation of hydroxyl radicals during ultraviolet photolysis (254 nm) at − 170°C of H2O adsorbed on silica gel.
Abstract: Electron spin resonance spectroscopy provided evidence for formation of hydroxyl radicals during ultraviolet photolysis (254 nm) at − 170°C of H2O adsorbed on silica gel or of silica gel alone. The carboxyl radical was observed when CO or CO2 or a mixture of CO and CO2 adsorbed on silica gel at − 170°C was irradiated. The ESR signals of these radicals slowly disappeared when the irradiated samples were warmed to room temperature. However, re-irradiation of CO or CO2, or the mixture CO and CO2 on silica gel at room temperature then produced a new species, the carbon dioxide anion radical, which slowly decayed and which was identical with that produced by direct photolysis of formic acid adsorbed on silica gel. The primary photochemical process may involve formation of hydrogen and hydroxyl radicals by means of (1) photodissociation of H2O physically adsorbed on the silica gel, or (2) absorption of the excitation energy by the silica gel surface with subsequent cleavage of the silanol bonds, or (3) dissociation of H2O molecules through photosensitization by the surfaces or a combination of (1) to (3). Subsequent reactions of these radicals with adsorbed CO or CO2 or both yield carboxyl radicals, CO2H, the precursors of formic acid. Our results confirm the formation of formic acid under simulated Martian conditions and provide a mechanistic basis for gauging the potential importance of gas-solid photochemistry for chemical evolution on other extraterrestrial bodies, on the primitive earth and on dust grains in the interstellar medium.

Journal ArticleDOI
TL;DR: In this paper, a multiple-zone model of P 2 O 5 diffusion into thermal SiO 2 was constructed using the literature data on the kinetics of phosphosilicate glass formation.
Abstract: Doped oxide diffusion condition was used to diffuse P-32 radioisotope into 1100°C thermal SiO 2 . Two phosphorus containing diffusing species were identified. Their diffusion characteristics were used with the literature data on the kinetics of phosphosilicate glass formation to construct a multiple-zone model of P 2 O 5 diffusion into thermal SiO 2 . This physical model was quantitatively verified by several types of masking experiments. In addition, the effects of dry-wet and dry-wet-dry oxidations (at 1100 and 1200°C) on the masking capability of the thermal oxides were examined.

Patent
20 Jan 1975
TL;DR: A silicon dioxide film and a silicon nitride film are successively vapor-deposited on a main face of an N type silicon substrate processed with H 2 O 2 water.
Abstract: A silicon dioxide film and a silicon nitride film are successively vapor-deposited on a main face of an N type silicon substrate processed with H 2 O 2 water. Those portions of both films underlaid by a P type region to be subsequently formed and their peripheral portions are selectively removed. Then a P type impurity is diffused into the central exposed portion of the main substrate face to form the P type region with a PN junction having a termination facing the silicon dioxide film. Also a silicon dioxide film is thermally formed on the peripheral exposed portion of the main face.

Book ChapterDOI
01 Jan 1975
TL;DR: In this paper, range distributions of 5 −150 keV boron ions in silicon dioxide have been measured by means of secondary ion mass spectrometry, and mean projected ranges are in good agreement with predictions of the LSS theory (maximum deviation 10%).
Abstract: Range distributions of 5–150 keV boron ions in silicon dioxide have been measured by means of secondary ion mass spectrometry. Mean projected ranges are in good agreement with predictions of the LSS theory (maximum deviation 10%). Experimental range straggling values are more than 20% larger than predicted. Moreover the distributions show pronounced deviations from a Gaussian. Comparison with boron range in amorphous silicon indicates 10 to 15% larger mean projected ranges in silicon dioxide. Amorphous oxide layers on single crystal silicon do not prevent the occurrence of channelling tails in the substrate.

Proceedings ArticleDOI
01 May 1975
TL;DR: In this article, reflectance in the vacuum ultraviolet down to 0.15 micron was measured and the effect of the thin silica melt layer formed during entry was also studied from the standpoint of trapped radiant energy.
Abstract: Measurements were made of reflectance in the vacuum ultraviolet down to 0.15 micron. Scattering coefficients (S) and absorption coefficients (K) were also measured. These coefficients express the optical properties and are used directly in a thermodynamic analysis for sizing a heat shield. The effect of the thin silica melt layer formed during entry was also studied from the standpoint of trapped radiant energy.

Patent
10 Nov 1975
TL;DR: In this article, a method for producing quartz glass objects starting from a melt of silicon dioxide in helium and hydrogen atmosphere is described, where the drawn objects are first heated in the temperature range of 800°-1400° C and thereafter at a temperature of at least 1600° C.
Abstract: A method for producing quartz glass objects starting from a melt of silicon dioxide in helium and hydrogen atmosphere. The drawn objects are first heated in the temperature range of 800°-1400° C and thereafter at a temperature of at least 1600° C. The result is a considerable reduction in the number of bubbles.