scispace - formally typeset
Search or ask a question

Showing papers by "Paul S. Ho published in 2008"


Journal ArticleDOI
TL;DR: In this paper, a mechanistic view of the plasma damage to low k dielectric materials was investigated from an analytical point of view, and the damage was characterized by angle resolved x-ray photoelectron spectroscopy, X-ray reflectivity, Fourier transform infrared spectrograph, and contact angle measurements.
Abstract: Plasma damage to low k dielectric materials was investigated from a mechanistic point of view. Low k dielectric films were treated by Ar, O2, N2, N2∕H2, and H2 plasmas in a standard reactive ion etching chamber and the damage was characterized by angle resolved x-ray photoelectron spectroscopy, x-ray reflectivity, Fourier transform infrared spectroscopy, and contact angle measurements. Both carbon depletion and surface densification were observed on the top surface of damaged low k materials while the bulk remained largely unaffected. Plasma damage was found to be a complicated phenomenon involving both chemical and physical effects, depending on chemical reactivity and the energy and mass of the plasma species. A downstream hybrid plasma source with separate ions and atomic radicals was employed to study their respective roles in the plasma damage process. Ions were found to play a more important role in the plasma damage process. The dielectric constant of low k materials can increase up to 20% due to p...

86 citations


Journal ArticleDOI
TL;DR: This letter demonstrates a method for fabricating single-crystal Si nanolines, with rectangular cross sections and nearly atomically flat sidewalls, which leads to superb mechanical properties and the critical load for buckling depends on the friction at the contact surface.
Abstract: This letter demonstrates a method for fabricating single-crystal Si nanolines, with rectangular cross sections and nearly atomically flat sidewalls. The high quality of these nanolines leads to superb mechanical properties, with the strain to fracture measured by nanoindentation tests exceeding 8.5% for lines of 74 nm width. A large displacement burst before fracture was observed, which is attributed to a buckling mechanism. Numerical simulations show that the critical load for buckling depends on the friction at the contact surface.

31 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated the origin of dielectric loss induced by O2 plasma on organo-silicate glass low-k dielectrics by analyzing the results from capacitance-voltage measurement, spectroscopic ellipsometry, and Fourier transform infrared spectroscopy together with the Kramers-Kronig dispersion relation.
Abstract: This study investigated the origin of dielectric loss induced by O2 plasma on organo-silicate glass low-k dielectrics. The contributions from the polarization components to dielectric constant were delineated by analyzing the results from capacitance-voltage measurement, spectroscopic ellipsometry, and Fourier transform infrared spectroscopy together with the Kramers–Kronig dispersion relation. The dielectric loss was found to be dominated by the dipole contribution, compared with the electronic and ionic polarizations. The origin of the dipole contribution was further investigated by performing quantum chemistry calculations. The physisorbed water molecules were found to be primarily responsible for the dipole moment increase and the dielectric loss.

29 citations


Journal ArticleDOI
TL;DR: In this paper, the authors show that the use of fluorinated surfactants must be accompanied by a surface treatment that produces a similar energy or polarity to induce migration and lower the adhesive strength.
Abstract: The step-and-flash imprint lithography process requires the clean separation of a quartz template from a polymer, and the force required to create this separation must be minimized to prevent the generation of defects. Fluorinated surfactant additives to the imprint fluid address this problem by migrating to the template-polymer interface and forming a local layer with ideal properties for adhesive fracture. Tensile and four-point bend fracture experiments show that surfactants lower the modulus of the imprint polymer and decrease the fracture energy. The fracture energy is further decreased by using a nonreactive, liquid surfactant versus a surfactant that reacts with the polymer matrix. Angle-resolved X-ray photoelectron spectroscopy results indicate that surfactant migration is more effective with a fluorinated surface treatment compared to an untreated quartz surface. This result shows that the use of fluorinated surfactants must be accompanied by a surface treatment that produces a similar energy or polarity to induce migration and lower the adhesive strength.

25 citations


Journal ArticleDOI
TL;DR: In this article, a two-stage spincoating process was proposed to achieve the target thickness quickly and a second step with low spin speeds to improve planarization, which was used to validate the models through profilometry and interferometry.
Abstract: Reverse-tone step and flash imprint lithography SFIL-R shows promise as a cost-efficient, high-resolution patterning technique; however, the generation of satisfactory patterns requires the successful application of a planarizing topcoat over topography through spincoating. Photopolymerizable nonvolatile fluids are ideal topcoat materials because they planarize better than volatile fluids during spincoating and can continue to level after spincoating. Fluid mechanics analyses indicate that complete planarization using capillary force is slow. Therefore, defining the acceptable or critical degree of planarization DOPcrit becomes necessary. Finite difference simulation of the spincoat and postspin leveling processes was used to determine the planarization time for various topographic and material property combinations. A new material, Si-14, was designed to have ideal planarization characteristics low viscosity-15.1 cP; low shrinkage-5.1% and satisfy SFIL-R processing requirements oxygen etch resistance-33 wt% silicon, photocurable and was used to validate our models through profilometry and interferometry experiments. During spincoating, minimizing the spin speed generates more planar films; however, this increases the spin time. To rectify this problem, a two-stage spincoating process-a first step with high spin speeds to achieve the target thickness quickly and a second step with low spin speeds to improve planarization-was proposed and experimentally demonstrated.

19 citations


Journal ArticleDOI
TL;DR: In this paper, a single-layer pitch-variable diffractive nanogratings was fabricated on silicon nitride probe using e-beam lithography, reactive ion etching and wet-etching techniques.
Abstract: We designed and fabricated silicon probe with nanophotonic force sensor to directly stimulate neurons (PC12) and measured its effect on neurite initiation and elongation. A single-layer pitch-variable diffractive nanogratings was fabricated on silicon nitride probe using e-beam lithography, reactive ion etching and wet-etching techniques. The nanogratings consist of flexure folding beams suspended between two parallel cantilevers of known stiffness. The probe displacement, therefore the force, can be measured through grating transmission spectrum. We measured the mechanical membrane characteristics of PC12 cells using the force sensors with displacement range of 10 μm and force sensitivity 8 μN/μm. Young’s moduli of 425 ± 30 Pa are measured with membrane deflection of 1% for PC12 cells cultured on polydimethylsiloxane (PDMS) substrate coated with collagen or laminin in Ham’s F-12K medium. In a series of measurements, we have also observed stimulation of directed neurite contraction up to 6 μm on extended probing for a time period of 30 min. This method is applicable to measure central neurons mechanics under subtle tensions for studies on development and morphogenesis. The close synergy between the nano-photonic measurements and neurological verification can improve our understanding of the effect of external conditions on the mechanical properties of cells during growth and differentiation.

13 citations


Proceedings ArticleDOI
01 Jun 2008
TL;DR: In this paper, the authors investigated the mechanical stability of air-gap interconnect structures during thermal processing and under chip packaging interaction (CPI) using 3D multilevel finite element analysis (FEA) models.
Abstract: The mechanical stability of air-gap interconnect structures during thermal processing and under chip packaging interaction (CPI) were investigated using 3D multilevel finite element analysis (FEA) models. Low k cap delamination from the Cu barrier during thermal processing, channel cracking of the bridging cap and dielectric overlayers, and interface delamination under packaging were identified as the main concerns of mechanical stability and reliability for air-gap implementation. Simulation results revealed that the delamination driving force depends very much on the gap width to cap thickness ratio, the channel cracking issue in the dielectric overlayers can be managed in the presence of constraints from adjacent Cu wires, and the introduction of air-gaps significantly increases the interfacial delamination probability under the outermost solder bumps.

12 citations


Journal ArticleDOI
TL;DR: In this article, the authors performed atomic force microscope (AFM)-based indentation tests to examine mechanical properties of parallel single-crystal silicon nanolines (SiNLs) of sub-100nm line width, fabricated by a process combining electron-beam lithography and anisotropic wet etching.
Abstract: Atomic force microscope-(AFM-) based indentation tests were performed to examine mechanical properties of parallel single-crystal silicon nanolines (SiNLs) of sub-100-nm line width, fabricated by a process combining electron-beam lithography and anisotropic wet etching The SiNLs have straight and nearly atomically flat sidewalls, and the cross-section is almost perfectly rectangular with uniform width and height along the longitudinal direction The measured load-displacement curves from the indentation tests show an instability with large displacement bursts at a critical load ranging from 480 µN to 700 µN This phenomenon is attributed to a transition of the buckling mode of the SiNLs under indentation Using a set of finite element models with postbuckling analyses, we analyze the indentation-induced buckling modes and investigate the effects of tip location, contact friction, and substrate deformation on the critical load of mode transition The results demonstrate a unique approach for the study of nanomaterials and patterned nanostructures via a combination of experiments and modeling

8 citations


Proceedings ArticleDOI
01 Jun 2008
TL;DR: In this paper, the impact of the interconnect design on the mechanical reliability of multi-layer interconnects was investigated using Finite Element Analysis (FEA) and the chip package interaction (CPI) was analyzed to calculate the energy release rate.
Abstract: Impacts of the interconnect design on the mechanical reliability of Cu/low-k multi-layer interconnects were investigated using Finite Element Analysis. The Chip package interaction (CPI) was analyzed to calculate the energy release rate (ERR). First, impacts of dielectric material properties on CPI were studied using a four metal layer model. Then the study was extended to seven and nine metal layer models were used to investigate the CPI impacts to crack driving forces. Finally, implications on interconnect design rules and reliabilities will be discussed.

7 citations


Proceedings ArticleDOI
30 Dec 2008
TL;DR: In this paper, the impact of chip package interaction induced by mismatch in coefficient of thermal expansion (CTE) between chip and package in a flip chip ball grid array (FCBGA) and its impacts on the mechanical reliability of Cu/ultra low-k interconnect were investigated using finite element analysis (FEA).
Abstract: The chip package interaction (CPI) induced by the mismatch in coefficient of thermal expansion (CTE) between chip and package in a flip chip ball grid array (FCBGA) and its impacts on the mechanical reliability of Cu/ultra low-k interconnect were investigated using finite element analysis (FEA). 3D and 2D multi-level sub-modeling technique was used to link the deformation from the package level to the interconnect level. The energy release rate (ERR) at the critical interface in interconnect structures was calculated using a modified virtual crack closure technique to analyze the impact of CPI on the reliability. First, the CPI in a four metal-layer interconnect model was investigated. The ERR at upper layer was higher than that at the lower layer, when the same low-k ILD is used for all layers. However, the ERR at M3 interface becomes 35% higher than the M4 level when TEOS is used in the M4 level. The interconnect design and the mechanical properties of ILD were found to be important to control CPI. Then, the ERR dependence on the crack length was analyzed using seven and nine metal-layer interconnect model. The ERR was found to increase with the crack length which indicates that the crack will keep growing once it propagate.

7 citations


Proceedings ArticleDOI
TL;DR: According to fracture mechanics principles, decreasing both the imprint polymer modulus and the interfacial fracture energy is beneficial for reducing the separation force as discussed by the authors, and Adjusting the crosslinker concentration in the imprint============formulation decreases the modulus but does not significantly impact the facture energy.
Abstract: The step and flash imprint lithography (SFIL) process requires the clean separation of a quartz template from a polymer imprint, and the force required to create this separation must be minimized to prevent the generation of defects. According to fracture mechanics principles, decreasing both the imprint polymer modulus and the interfacial fracture energy are beneficial for reducing the separation force. Adjusting the crosslinker concentration in the imprint formulation decreases the modulus but does not significantly impact the facture energy. On the other hand, fluorinated surfactant additives to the imprint fluid lower the modulus of the imprint polymer and decrease the fracture energy. The fracture energy is further decreased by using a nonreactive, liquid surfactant versus a surfactant that reacts with the polymer matrix. Angle-resolved X-ray photoelectron spectroscopy (XPS) results indicate that surfactant migration is more effective with a fluorinated surface treatment compared to an untreated quartz surface. This result shows that the use of fluorinated surfactants must be accompanied by a surface treatment that produces a similar energy or polarity to induce migration and lower the adhesive strength.

Journal ArticleDOI
TL;DR: In this article, the effect of different process parameters on electromigration lifetime statistics in Cu interconnects was investigated, and the authors found that the first two process modifications change the median time to failure but do not alter the sigma value.
Abstract: Even after the successful introduction of Cu-based metallization, the electromigration failure risk has remained one of the important reliability concerns for advanced process technologies mostly due to ever increasing operating current densities. The main factors that require understanding are the activation energy related to the dominating diffusion mechanism, the median lifetimes, and the lognormal standard deviation sigma of experimentally obtained lifetime distributions. This study investigates the effect of different process parameters on electromigration lifetime statistics in Cu interconnects. First, the failure distributions of single damascene interconnects with smaller line height are examined, followed by an analysis of the influence of different passivation layers on electromigration statistics. A third part focuses on samples with dual damascene technology. It is observed that the first two process modifications change the median time to failure but do not alter the sigma value. Geometrical ...

Proceedings ArticleDOI
27 May 2008
TL;DR: In this paper, the effect of UBM thickness on the reliability of Pb-free solder joints has been investigated with the help of finite element analysis (FEA), and the maximum current density at the UBM/solder interface was found to be a critical factor controlling EM reliability.
Abstract: Electromigration (EM) tests were performed on Pb-free solder joints having different thicknesses of Ni UBM, to examine the effect of UBM thickness on EM reliability. The UBM thickness dependency of EM lifetime was explained in terms of the current crowding effect with the help of finite element analysis (FEA). Based on the experimental results as well as FEA, the maximum current density at the UBM/solder interface was found to be a critical factor controlling EM reliability. Further analyses were conducted by FEA to evaluate the dependency of current density distribution on a contact trace structure. The results showed that an appropriate selection of a contact trace structure was as important as the UBM thickness. The effects of solder joint scaling on current crowding were also investigated. It was found that the maximum current density did not increase as much as the average current density when solder joints were scaled down.

Proceedings ArticleDOI
28 May 2008
TL;DR: In this article, the authors used X-ray reflectivity to measure the temperature gradient of the thermal expansion strain along the film thickness, and a method to deduce Poisson's ratio of the thin ULK film was proposed using the data from the afore-mentioned characterization techniques.
Abstract: To meet electrical performance requirements, the industry is implementing ultra-low dielectric constant (ULK) materials in the back end of line interconnect structure. ULK dielectrics are inherently weak compared to traditional dielectrics and pose significant challenges to electronic packaging processes and reliability. Accurate mechanical properties are a prerequisite for upfront risk assessments associated with low-k integration using numerical simulations. In this paper, techniques used to characterize ULK dielectric elastic modulus and in-plane/out-of-plane coefficient of thermal expansion will be presented and the data for a candidate ULK dielectric will be summarized. Nanoindentation of ULK films on substrate was used to determine the plane strain modulus. In the direction normal to the film, the temperature gradient of the thermal expansion strain along the film thickness was measured by X-ray reflectivity. In the plane of the film, the temperature gradient of the biaxial thermal stress was obtained by the substrate curvature measurements. A method to deduce Poisson's ratio of the thin ULK film is proposed using the data from the afore-mentioned characterization techniques.

Proceedings ArticleDOI
12 May 2008
TL;DR: In this article, a technique for the fast determination of stress in Al thin films in the presence of SiO2 passivation or line patterning was developed and used to investigate triaxial and biaxial stress states.
Abstract: As feature size enters the sub‐micron range in Si based solid‐state electronic devices, void formation leading to open circuits in Al interconnect metallizations becomes an increasingly important reliability problem. Techniques for the fast determination of stress in Al thin films in the presence of SiO2 passivation or line patterning were developed and were used to investigate triaxial and biaxial stress states. Stresses were determined as a function of temperature (from 30 °C to 400 °C) with average heating rates on the order of 5 °C/min and with data points every 15–20 °C. Results from a number of 0.6 μm Al(1%Cu)‐film systems are presented, including: blanket unpassivated films; blanket, SiO2 passivated films; passivated, patterned lines with widths ranging from 0.5 to 6.0 μm.

Proceedings ArticleDOI
28 Aug 2008
TL;DR: In this paper, angle-resolved scatterometry measurements on grating targets of 180 nm pitch fabricated by electron beam lithography and anisotropic wet etching of (110)-oriented silicon were performed.
Abstract: The extraction of nanoscale dimensions and feature geometry of grating targets using signature-based optical techniques is an area of continued interest in semiconductor manufacturing. In the current work, we have performed angle-resolved scatterometry measurements on grating targets of 180 nm pitch fabricated by electron beam lithography and anisotropic wet etching of (110)-oriented silicon. The use of oriented silicon results in grating lines with nominally vertical sidewalls, with linewidths estimated by scanning electron microscopy (SEM) to be in the sub-50 nm range. The targets were designed to be suitable for both optical scatterometry and small-angle x-ray scattering (SAXS) measurement. As a consequence of the lattice-plane selective etch used for fabrication, the target trenches do not have a flat bottom, but rather have a wide vee shape. We demonstrate extraction of linewidth, line height, and trench profile using scatterometry, with an emphasis on modeling the trench angle, which is well decoupled from other grating parameters in the scatterometry model and is driven by the crystalline orientation of the Si lattice planes. Issues such as the cross-correlation of grating height and linewidth in the scatterometry model, the limits of resolution for angle-resolved scatterometry at the wavelength used in this study (532 nm), and prospects for improving the height and linewidth resolution obtained from scatterometry of the targets, are discussed.

Journal ArticleDOI
TL;DR: A vacuum vapor silylation process was developed for dielectric recovery of plasma damaged porous organosilicate (OSG) low-k dielectrics in this article, which was found to be more effective in recovering surface carbon concentration and surface hydrophobicity.
Abstract: Methyl depletion and subsequent moisture uptake have been found to be the primary plasma damages leading to dielectric loss in porous organosilicate (OSG) low-k dielectrics. A vacuum vapor silylation process was developed for dielectric recovery of plasma damaged OSG low-k dielectrics. The methyl or phenyl containing silylation agents were used to convert the hydrophilic -OH groups to hydrophobic groups. Compared with Trimethylchlorosilane (TMCS) and Phenyltrimethoxysilane (PTMOS), Dimethyldichlorosilane (DMDCS) was found to be more effective in recovering surface carbon concentration and surface hydrophobicity. But the carbon recovery effect was limited to the surface region. Alternatively, UV radiation with thermal activation was applied for dielectric recovery of plasma damaged OSG low-k dielectrics. The combined UV/thermal process was found to be efficient in reducing −OH, physisorbed water, and C=O bonds. The dielectric constant was recovered within 5% of the pristine sample and the leakage current was also much reduced. Aging test in air showed that no moisture retake was observed, indicating the repaired film was stable.

Proceedings ArticleDOI
01 Jun 2008
TL;DR: In this paper, a mechanistic study of CO 2 plasma damage to OSG (organosilicate glass) low-k films was performed using both inductive-coupled ICP and capacitive-couple RIE sources with varying plasma energy and density.
Abstract: A mechanistic study of CO 2 plasma damage to OSG (organosilicate glass) low-k films was performed using both inductive-coupled ICP and capacitive-coupled RIE sources with varying plasma energy and density. The nature of the damage was investigated using spectroscopic ellipsometry (SE), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectrometer (XPS), and capacitance-voltage (C-V) measurements. The spectroscopic data were used to examine the origin of the dielectric loss based on the Kramers-Kronig dispersion relation to follow the change of the polarization components. Upon plasma treatment, the electronic dielectric constant was found to drop first and then rise to reach saturation while the ionic component increased and became saturated. Overall the dipolar contribution was found to dominate the dielectric loss. The extent of CO 2 plasma damage was found to depend on the plasma density, plasma energy and the low k chemistry. Compared with the O 2 plasma, the CO 2 plasma induced less damage probably due to the compensation effect of the carbon in the CO 2 plasma. While the RIE CO 2 plasma induced mostly surface damage, the ICP plasma generated more damage in the bulk of the low k material.

Journal ArticleDOI
TL;DR: In this paper, the authors performed nanoindentation experiments on two sets of silicon nanolines (SiNLs) of widths 24 nm and 90 nm, respectively, to investigate the mechanical behavior of silicon structures at tens of nanometer scale.
Abstract: In this study, we performed nanoindentation experiments on two sets of silicon nanolines (SiNLs) of widths 24 nm and 90 nm, respectively, to investigate the mechanical behavior of silicon structures at tens of nanometer scale. The high height-to-width aspect ratio (∼15) SiNLs were fabricated by an anisotropic wet etching (AWE) method, having straight and nearly atomically flat sidewalls. In the test, buckling instability was observed at a critical load, which was fully recoverable upon unloading. It was found that friction at the contact between the indenter and SiNLs played an important role in the buckling response. Based on a finite element model (FEM), the friction coefficient was estimated to be in a range of 0.02 to 0.05. The strain to failure was estimated to range from 3.8% for 90 nm lines to 7.5% for 24 nm lines.