scispace - formally typeset
Search or ask a question

Showing papers in "IEEE Transactions on Biomedical Circuits and Systems in 2013"


Journal ArticleDOI
TL;DR: A BMI device, called an Opto- μECoG array, is developed, which combines ECoG recording and optogenetics-based stimulation to enable multichannel, bi-directional interactions with neurons.
Abstract: Electrocorticogram (ECoG) recordings, taken from electrodes placed on the surface of the cortex, have been successfully implemented for control of brain machine interfaces (BMIs). Optogenetics, direct optical stimulation of neurons in brain tissue genetically modified to express channelrhodopsin-2 (ChR2), enables targeting of specific types of neurons with sub-millisecond temporal precision. In this work, we developed a BMI device, called an Opto- μECoG array, which combines ECoG recording and optogenetics-based stimulation to enable multichannel, bi-directional interactions with neurons. The Opto- μECoG array comprises two sub-arrays, each containing a 4 × 4 distribution of micro-epidural transparent electrodes (~200 μm diameter) and embedded light-emitting diodes (LEDs) for optical neural stimulation on a 2.5×2.5 mm2 footprint to match the bilateral hemispherical area of the visual cortex in a rat. The transparent electrodes were fabricated with indium tin oxide (ITO). Parylene-C served as the main structural and packaging material for flexibility and biocompatibility. Optical, electrical, and thermal characteristics of the fabricated device were investigated and in vivo experiments were performed to evaluate the efficacy of the device.

151 citations


Journal ArticleDOI
TL;DR: A novel multi-coil technique (using more than two coils) for wireless power and data transfer is considered to help overcoming this limitation and a figure of merit for telemetry systems is defined to quantify the overall telemetry system performance.
Abstract: Two-coil based inductive coupling is a commonly used technique for wireless power and data transfer for biomedical implants. Because the source and load resistances are finite, two-coil systems generally achieve a relatively low power transfer efficiency. A novel multi-coil technique (using more than two coils) for wireless power and data transfer is considered to help overcoming this limitation. The proposed multi-coil system is formulated using both network theory and a two-port model. Using three or four coils for the wireless link allows for the source and load resistances to be decoupled from the Q-factor of the coils, resulting in a higher Q -factor and a corresponding improved power transfer efficiency (PTE). Moreover, due to the strong coupling between the driver and the transmitter coil (and/or between the receiver and the load coil), the multi-coil system achieves higher tunable frequency bandwidth as compared to its same sized two-coil equivalent. Because of the wider range of reflected impedance in the multi-coil system case, it is easier to tune the output power to the load and achieve the maximum power transfer condition for given source voltage than in a configuration with two coils. Experimental results showing a three-coil system achieving twice the efficiency and higher gain-bandwidth product compared to its two-coil counterpart are presented. In addition, a figure of merit for telemetry systems is defined to quantify the overall telemetry system performance.

151 citations


Journal ArticleDOI
TL;DR: ESD testing on all the electronic inputs and outputs has proven that the implantable device satisfies the HBM Class-1B ESD Standard, and the evaluation of the worst-case charge density delivered to the tissue from each I/O pin verifies the patient safety of the device in the event of failure.
Abstract: A 100-channel fully implantable wireless broadband neural recording system was developed. It features 100 parallel broadband (0.1 Hz-7.8 kHz) neural recording channels, a medical grade 200 mAh Li-ion battery recharged inductively at 150 kHz , and data telemetry using 3.2 GHz to 3.8 GHz FSK modulated wireless link for 48 Mbps Manchester encoded data. All active electronics are hermetically sealed in a titanium enclosure with a sapphire window for electromagnetic transparency. A custom, high-density configuration of 100 individual hermetic feedthrough pins enable connection to an intracortical neural recording microelectrode array. A 100 MHz bandwidth custom receiver was built to remotely receive the FSK signal and achieved -77.7 dBm sensitivity with 10-8 BER at 48 Mbps data rate. ESD testing on all the electronic inputs and outputs has proven that the implantable device satisfies the HBM Class-1B ESD Standard. In addition, the evaluation of the worst-case charge density delivered to the tissue from each I/O pin verifies the patient safety of the device in the event of failure. Finally, the functionality and reliability of the complete device has been tested on-bench and further validated chronically in ongoing freely moving swine and monkey animal trials for more than one year to date.

150 citations


Journal ArticleDOI
TL;DR: A general framework for securing medical devices based on wireless channel monitoring and anomaly detection based on a medical security monitor that snoops on all the radio-frequency wireless communications to/from medical devices and uses multi-layered anomaly detection to identify potentially malicious transactions.
Abstract: Rapid advances in personal healthcare systems based on implantable and wearable medical devices promise to greatly improve the quality of diagnosis and treatment for a range of medical conditions. However, the increasing programmability and wireless connectivity of medical devices also open up opportunities for malicious attackers. Unfortunately, implantable/wearable medical devices come with extreme size and power constraints, and unique usage models, making it infeasible to simply borrow conventional security solutions such as cryptography. We propose a general framework for securing medical devices based on wireless channel monitoring and anomaly detection. Our proposal is based on a medical security monitor (MedMon) that snoops on all the radio-frequency wireless communications to/from medical devices and uses multi-layered anomaly detection to identify potentially malicious transactions. Upon detection of a malicious transaction, MedMon takes appropriate response actions, which could range from passive (notifying the user) to active (jamming the packets so that they do not reach the medical device). A key benefit of MedMon is that it is applicable to existing medical devices that are in use by patients, with no hardware or software modifications to them. Consequently, it also leads to zero power overheads on these devices. We demonstrate the feasibility of our proposal by developing a prototype implementation for an insulin delivery system using off-the-shelf components (USRP software-defined radio). We evaluate its effectiveness under several attack scenarios. Our results show that MedMon can detect virtually all naive attacks and a large fraction of more sophisticated attacks, suggesting that it is an effective approach to enhancing the security of medical devices.

145 citations


Journal ArticleDOI
TL;DR: An integrated technology for fabrication of PDMS-based stretchable microelectrode arrays (MEAs) that facilitates a high-resolution, high-density integrated system solution for neural and muscular surface interfacing is described.
Abstract: Numerous applications in neuroscience research and neural prosthetics, such as electrocorticogram (ECoG) recording and retinal prosthesis, involve electrical interactions with soft excitable tissues using a surface recording and/or stimulation approach. These applications require an interface that is capable of setting up high-throughput communications between the electrical circuit and the excitable tissue and that can dynamically conform to the shape of the soft tissue. Being a compliant material with mechanical impedance close to that of soft tissues, polydimethylsiloxane (PDMS) offers excellent potential as a substrate material for such neural interfaces. This paper describes an integrated technology for fabrication of PDMS-based stretchable microelectrode arrays (MEAs). Specifically, as an integral part of the fabrication process, a stretchable MEA is directly fabricated with a rigid substrate, such as a thin printed circuit board (PCB), through an innovative bonding technology-via-bonding-for integrated packaging. This integrated strategy overcomes the conventional challenge of high-density packaging for this type of stretchable electronics. Combined with a high-density interconnect technology developed previously, this stretchable MEA technology facilitates a high-resolution, high-density integrated system solution for neural and muscular surface interfacing. In this paper, this PDMS-based integrated stretchable MEA (isMEA) technology is demonstrated by an example design that packages a stretchable MEA with a small PCB. The resulting isMEA is assessed for its biocompatibility, surface conformability, electrode impedance spectrum, and capability to record muscle fiber activity when applied epimysially.

119 citations


Journal ArticleDOI
TL;DR: A single-chip array of 100 biologically-based electronic neuron models interconnected to each other and the outside environment through 30,000 synapses is presented, using Address-Event Representation (AER) spike communication for inputs and outputs to this IC.
Abstract: We present a single-chip array of 100 biologically-based electronic neuron models interconnected to each other and the outside environment through 30,000 synapses. The chip was fabricated in a standard 350 nm CMOS IC process. Our approach used dense circuit models of synaptic behavior, including biological computation and learning, as well as transistor channel models. We use Address-Event Representation (AER) spike communication for inputs and outputs to this IC. We present the IC architecture and infrastructure, including IC chip, configuration tools, and testing platform. We present measurement of small network of neurons, measurement of STDP neuron dynamics, and measurement from a compiled spiking neuron WTA topology, all compiled into this IC.

118 citations


Journal ArticleDOI
TL;DR: A neural recording architecture with dynamic range folding and current reuse techniques is proposed and dedicated to solving the noise and dynamic range trade-off under low voltage low power operation.
Abstract: Neural prosthetics and personal healthcare have increasing need of high channel density low noise low power neural sensor interfaces. The input referred noise and quantization resolution are two essential factors which prevent conventional neural sensor interfaces from simultaneously achieving a good noise efficiency factor and low power consumption. In this paper, a neural recording architecture with dynamic range folding and current reuse techniques is proposed and dedicated to solving the noise and dynamic range trade-off under low voltage low power operation. Measured results from the silicon prototype show that the proposed design achieves 3.2 μVrms input referred noise and 8.27 effective number of bits at only 0.45 V supply and 0.94 μW/channel power consumption.

115 citations


Journal ArticleDOI
TL;DR: Lower power consumption and reduced design complexity with respect to conventional LC-ADCs are achieved due to replacing the n-bit digital-to-analog converter (DAC) with a 1-bit DAC; splitting the level-crossing detections; and fixing the comparison window.
Abstract: A continuous-time level-crossing analog-to-digital converter (LC-ADC) for biomedical applications is presented. When compared to uniform-sampling (US) ADCs LC-ADCs generate fewer samples for various sparse biomedical signals. Lower power consumption and reduced design complexity with respect to conventional LC-ADCs are achieved due to: 1) replacing the n-bit digital-to-analog converter (DAC) with a 1-bit DAC; 2) splitting the level-crossing detections; and 3) fixing the comparison window. Designed and implemented in 0.18 μm CMOS technology, the proposed ADC uses a chip area of 220 × 203 μm2. Operating from a supply voltage of 0.8 V, the ADC consumes 313-582 nW from 5 Hz to 5 kHz and achieves an ENOB up to 7.9 bits.

113 citations


Journal ArticleDOI
TL;DR: A modular, scalable approach to assembling hierarchically structured neuromorphic Address Event Representation (AER) systems, in which case a special bidirectional parallel-serial AER link with flow control is exploited, using the FPGA Rocket-I/O interfaces.
Abstract: This paper presents a modular, scalable approach to assembling hierarchically structured neuromorphic Address Event Representation (AER) systems. The method consists of arranging modules in a 2D mesh, each communicating bidirectionally with all four neighbors. Address events include a module label. Each module includes an AER router which decides how to route address events. Two routing approaches have been proposed, analyzed and tested, using either destination or source module labels. Our analyses reveal that depending on traffic conditions and network topologies either one or the other approach may result in better performance. Experimental results are given after testing the approach using high-end Virtex-6 FPGAs. The approach is proposed for both single and multiple FPGAs, in which case a special bidirectional parallel-serial AER link with flow control is exploited, using the FPGA Rocket-I/O interfaces. Extensive test results are provided exploiting convolution modules of 64 × 64 pixels with kernels with sizes up to 11 × 11, which process real sensory data from a Dynamic Vision Sensor (DVS) retina. One single Virtex-6 FPGA can hold up to 64 of these convolution modules, which is equivalent to a neural network with 262 × 103 neurons and almost 32 million synapses.

105 citations


Journal ArticleDOI
TL;DR: A novel low-frequency wireless power transfer technology (LF-WPTT) using rotating rare-earth permanent magnets is demonstrated and is able to deliver 2.967 W power at ~ 180 Hz to an 117.1 Ω resistor over 1 cm distance with 50% overall efficiency.
Abstract: Implantable biomedical sensors and actuators are highly desired in modern medicine. In many cases, the implant's electrical power source profoundly determines its overall size and performance. The inductively coupled coil pair operating at the radio-frequency (RF) has been the primary method for wirelessly delivering electrical power to implants for the last three decades. Recent designs significantly improve the power delivery efficiency by optimizing the operating frequency, coil size and coil distance. However, RF radiation hazard and tissue absorption are the concerns in the RF wireless power transfer technology (RF-WPTT) [4], [5]. Also, it requires an accurate impedance matching network that is sensitive to operating environments between the receiving coil and the load for efficient power delivery. In this paper, a novel low-frequency wireless power transfer technology (LF-WPTT) using rotating rare-earth permanent magnets is demonstrated. The LF-WPTT is able to deliver 2.967 W power at ~ 180 Hz to an 117.1 Ω resistor over 1 cm distance with 50% overall efficiency. Because of the low operating frequency, RF radiation hazard and tissue absorption are largely avoided, and the power delivery efficiency from the receiving coil to the load is independent of the operating environment. Also, there is little power loss observed in the LF-WPTT when the receiving coil is enclosed by non-magnetic implant-grade stainless steel.

99 citations


Journal ArticleDOI
TL;DR: A current source suitable for Electrical Impedance Tomography (EIT) was simulated using a SPICE model, and built to verify stable operation, which provides 80 dB precision for this EIT application.
Abstract: The Howland current pump is a popular bioelectrical circuit, useful for delivering precise electrical currents. In applications requiring high precision delivery of alternating current to biological loads, the output impedance of the Howland is a critical figure of merit that limits the precision of the delivered current when the load changes. We explain the minimum operational amplifier requirements to meet a target precision over a wide bandwidth. We also discuss effective compensation strategies for achieving stability without sacrificing high frequency output impedance. A current source suitable for Electrical Impedance Tomography (EIT) was simulated using a SPICE model, and built to verify stable operation. This current source design had stable output impedance of 3.3 MΩ up to 200 kHz, which provides 80 dB precision for our EIT application. We conclude by noting the difficulty in measuring the output impedance, and advise verifying the plausibility of measurements against theoretical limitations.

Journal ArticleDOI
TL;DR: A 3-D imaging system using capacitive micromachined ultrasonic transducer (CMUT) technology that addresses many of the challenges in building such a system and demonstrates two approaches in integrating the transducers and the front-end electronics.
Abstract: Real-time volumetric ultrasound imaging systems require transmit and receive circuitry to generate ultrasound beams and process received echo signals. The complexity of building such a system is high due to requirement of the front-end electronics needing to be very close to the transducer. A large number of elements also need to be interfaced to the back-end system and image processing of a large dataset could affect the imaging volume rate. In this work, we present a 3-D imaging system using capacitive micromachined ultrasonic transducer (CMUT) technology that addresses many of the challenges in building such a system. We demonstrate two approaches in integrating the transducer and the front-end electronics. The transducer is a 5-MHz CMUT array with an 8 mm × 8 mm aperture size. The aperture consists of 1024 elements (32 × 32) with an element pitch of 250 μm. An integrated circuit (IC) consists of a transmit beamformer and receive circuitry to improve the noise performance of the overall system. The assembly was interfaced with an FPGA and a back-end system (comprising of a data acquisition system and PC). The FPGA provided the digital I/O signals for the IC and the back-end system was used to process the received RF echo data (from the IC) and reconstruct the volume image using a phased array imaging approach. Imaging experiments were performed using wire and spring targets, a ventricle model and a human prostrate. Real-time volumetric images were captured at 5 volumes per second and are presented in this paper.

Journal ArticleDOI
TL;DR: An 8 channel energy-efficient neural stimulator for generating charge-balanced asymmetric pulses and a novel charge balancing method which has a low level of accuracy on a single pulse and a much higher accuracy over a series of pulses is presented.
Abstract: This paper presents an 8 channel energy-efficient neural stimulator for generating charge-balanced asymmetric pulses. Power consumption is reduced by implementing a fully-integrated DC-DC converter that uses a reconfigurable switched capacitor topology to provide 4 output voltages for Dynamic Voltage Scaling (DVS). DC conversion efficiencies of up to 82% are achieved using integrated capacitances of under 1 nF and the DVS approach offers power savings of up to 50% compared to the front end of a typical current controlled neural stimulator. A novel charge balancing method is implemented which has a low level of accuracy on a single pulse and a much higher accuracy over a series of pulses. The method used is robust to process and component variation and does not require any initial or ongoing calibration. Measured results indicate that the charge imbalance is typically between 0.05%-0.15% of charge injected for a series of pulses. Ex-vivo experiments demonstrate the viability in using this circuit for neural activation. The circuit has been implemented in a commercially-available 0.18 μm HV CMOS technology and occupies a core die area of approximately 2.8 mm2 for an 8 channel implementation.

Journal ArticleDOI
Young-Jae Min1, Hoonki Kim1, Yu-Ri Kang2, Gil-Su Kim2, Jongsun Park1, Soo-Won Kim1 
TL;DR: A wavelet Electrocardiogram (ECG) detector for low-power implantable cardiac pacemakers is presented and a multi-scaled product algorithm and soft-threshold algorithm are efficiently exploited in the ECG detector implementation.
Abstract: A wavelet Electrocardiogram (ECG) detector for low-power implantable cardiac pacemakers is presented in this paper. The proposed wavelet-based ECG detector consists of a wavelet decomposer with wavelet filter banks, a QRS complex detector of hypothesis testing with wavelet-demodulated ECG signals, and a noise detector with zero-crossing points. In order to achieve high detection accuracy with low power consumption, a multi-scaled product algorithm and soft-threshold algorithm are efficiently exploited in our ECG detector implementation. Our algorithmic and architectural level approaches have been implemented and fabricated in a standard 0.35 μm CMOS technology. The testchip including a low-power analog-to-digital converter (ADC) shows a low detection error-rate of 0.196% and low power consumption of 19.02 μW with a 3 V supply voltage.

Journal ArticleDOI
TL;DR: Design guidelines to maximize the performance of low current measuring system for biomedical instrumentation and to provide the best performance available with CMOS technologies are provided.
Abstract: In this paper, we report on the noise analysis of low current measurement systems for biomedical applications and their fundamental limits. We analyzed resistive feedback, capacitive feedback and current amplifier circuits for low current measurement systems. Detailed noise analysis for different biomedical applications are presented and matched with measurement data using a 0.5-μm fabrication process. Based on the theoretical analysis and the corresponding measurement results, the capacitive feedback system provides better noise performance for the measurement of low current than the others. The capacitive feedback circuit is capable of measuring 750 fA RMS at a 10 kHz sampling rate, whereas the resistive feedback provides 4 pA and the current conveyor provides 600 pA at the same bandwidth. This paper provides design guidelines to maximize the performance of low current measuring system for biomedical instrumentation and to provide the best performance available with CMOS technologies.

Journal ArticleDOI
TL;DR: Overall, nanofiber web electrodes matched Ag/AgCl electrode performance more closely than metal plated fabric electrodes, with the contact resistance and capacitance of Ag plated PVDF nanofib web electrodes being most similar to Ag/ AgCl over the 10 Hz to 500 kHz frequency range.
Abstract: Electrode properties are key to the quality of measured biopotential signals. Ubiquitous health care systems require long-term monitoring of biopotential signals from normal volunteers and patients in home or hospital environments. In these settings it is appropriate to use dry textile electrode networks for monitoring purposes, rather than the gel or saline-sponge skin interfaces used with Ag/AgCl electrodes. In this study, we report performance test results of two different electrospun conductive nanofiber webs, and three metal plated fabrics. We evaluated contact impedance, step response, noise and signal fidelity performance indices for all five dry electrodes, and compared them to those of conventional Ag/AgCl electrodes. Overall, we found nanofiber web electrodes matched Ag/AgCl electrode performance more closely than metal plated fabric electrodes, with the contact resistance and capacitance of Ag plated PVDF nanofiber web electrodes being most similar to Ag/AgCl over the 10 Hz to 500 kHz frequency range. We also observed that step responses of all three metal-plated fabrics were poorer than those for nanofiber web electrodes and Ag/AgCl. Further, noise standard deviation and noise power spectral densities were generally lower in nanofiber web electrodes than metal plated fabrics; and waveform fidelity of ECG-like traces recorded from nanofiber web electrodes was higher than for metal plated fabrics. We recommend textile nanofiber web electrodes in applications where flexibility, comfort and durability are required in addition to good electrical characteristics.

Journal ArticleDOI
TL;DR: A 8 × 12 array of integrated potentiostats for on-CMOS neurotransmitter imaging is presented and is experimentally validated in in-situ recording of neurotransmitter dopamine.
Abstract: A 8 × 12 array of integrated potentiostats for on-CMOS neurotransmitter imaging is presented. Each potentiostat channel measures bidirectional redox currents proportional to the concentration of a neurochemical. By combining the current-to-frequency and the single-slope analog-to-digital converter (ADC) architectures a total linear dynamic range of 95 dB is achieved. A 3.8 mm × 3.1 mm prototype fabricated in a 0.35 μm standard CMOS technology was integrated with flat and 3D on-die gold microelectrodes and an on-chip microfluidic network. It is experimentally validated in in-situ recording of neurotransmitter dopamine.

Journal ArticleDOI
TL;DR: A fully intraocular self-calibrating epiretinal prosthesis with 512 independent channels in 65 nm CMOS with a novel digital calibration technique that matches the biphasic currents of each channel independently while the calibration circuitry is shared among every 4 channels.
Abstract: This paper presents a fully intraocular self-calibrating epiretinal prosthesis with 512 independent channels in 65 nm CMOS. A novel digital calibration technique matches the biphasic currents of each channel independently while the calibration circuitry is shared among every 4 channels. Dual-band telemetry for power and data with on-chip rectifier and clock recovery reduces the number of off-chip components. The rectifier utilizes unidirectional switches to prevent reverse conduction loss in the power transistors and achieves an efficiency > 80%. The data telemetry implements a phase-shift keying (PSK) modulation scheme and supports data rates up to 20 Mb/s. The system occupies an area of 4.5 ×3.1 mm2. It features a pixel size of 0.0169 mm2 and arbitrary waveform generation per channel. In vitro measurements performed on a Pt/Ir concentric bipolar electrode in phosphate buffered saline (PBS) are presented. A statistical measurement over 40 channels from 5 different chips shows a current mismatch with μ = 1.12 μA and σ = 0.53 μA. The chip is integrated with flexible MEMS origami coils and parylene substrate to provide a fully intraocular implant.

Journal ArticleDOI
TL;DR: With this simple modification, the proposed amplifier can achieve the same mid-band gain with less input capacitance, resulting in a higher input impedance and a smaller silicon area, and in-vivo recordings from animal experiments are demonstrated.
Abstract: Conventional capacitively coupled neural recording amplifiers often present a large input load capacitance to the neural signal source and hence take up large circuit area. They suffer due to the unavoidable trade-off between the input capacitance and chip area versus the amplifier gain. In this work, this trade-off is relaxed by replacing the single feedback capacitor with a clamped T-capacitor network. With this simple modification, the proposed amplifier can achieve the same mid-band gain with less input capacitance, resulting in a higher input impedance and a smaller silicon area. Prototype neural recording amplifiers based on this proposal were fabricated in 0.35 μm CMOS, and their performance is reported. The amplifiers occupy smaller area and have lower input loading capacitance compared to conventional neural amplifiers. One of the proposed amplifiers occupies merely 0.056 mm2. It achieves 38.1-dB mid-band gain with 1.6 pF input capacitance, and hence has an effective feedback capacitance of 20 fF. Consuming 6 μW, it has an input referred noise of 13.3 μVrms over 8.5 kHz bandwidth and NEF of 7.87. In-vivo recordings from animal experiments are also demonstrated.

Journal ArticleDOI
TL;DR: The proposed wireless implantable recording device was tested in vitro using real icEEG signals from two patients with refractory epilepsy, and measured normalized root-mean square deviation was under 2%.
Abstract: In this paper, we present the design and implementation of a wireless wearable electronic system dedicated to remote data recording for brain monitoring. The reported wireless recording system is used for a) simultaneous near-infrared spectrometry (NIRS) and scalp electro-encephalography (EEG) for noninvasive monitoring and b) intracerebral EEG (icEEG) for invasive monitoring. Bluetooth and dual radio links were introduced for these recordings. The Bluetooth-based device was embedded in a noninvasive multichannel EEG-NIRS system for easy portability and long-term monitoring. On the other hand, the 32-channel implantable recording device offers 24-bit resolution, tunable features, and a sampling frequency up to 2 kHz per channel. The analog front-end preamplifier presents low input-referred noise of 5 μ VRMS and a signal-to-noise ratio of 112 dB. The communication link is implemented using a dual-band radio frequency transceiver offering a half-duplex 800 kb/s data rate, 16.5 mW power consumption and less than 10-10 post-correction Bit-Error Rate (BER). The designed system can be accessed and controlled by a computer with a user-friendly graphical interface. The proposed wireless implantable recording device was tested in vitro using real icEEG signals from two patients with refractory epilepsy. The wirelessly recorded signals were compared to the original signals recorded using wired-connection, and measured normalized root-mean square deviation was under 2%.

Journal ArticleDOI
TL;DR: In the in-vivo experiment, a hind-limb paralyzed rat with spinal cord transection and implanted chronic epidural electrodes has been shown to regain stepping and standing abilities using stimulus provided by the SoC.
Abstract: This paper presents a fully functionally integrated 1024-channel mixed-mode and mixed-voltage system-on-a-chip (SoC) for epi-retinal and neural prostheses. Taking an AC input, an integrated power telemetry circuits is capable of generating multiple DC voltages with a voltage conversion efficiency of 83% at a load of 100 mW without external diodes or separate power integrated circuits, reducing the form factor of the prosthetic device. A wireless DPSK receiver with a novel noise reduction scheme supports a data rate of 2 Mb/s at a bit-error-rate of 2 × 10-7. The 1024-channel stimulator array meets an output compliance voltage of ±10 V and provides flexible stimulation waveforms. Through chip-clustering, the stimulator array can be further expanded to 4096 channels. This SoC is designed and fabricated in TSMC 0.18 μm high-voltage 32 V CMOS process and occupies a chip area of 5.7 mm × 6.6 mm. Using this SoC, a retinal implant bench-top test system is set up with real-time visual verification. In-vitro experiment conducted in artificial vitreous humor is designed and set-up to investigate stimulation waveforms for better visual resolution. In our in-vivo experiment, a hind-limb paralyzed rat with spinal cord transection and implanted chronic epidural electrodes has been shown to regain stepping and standing abilities using stimulus provided by the SoC.

Journal ArticleDOI
TL;DR: This paper presents the implementation of the first fully integrated pulse oximeter front-end with a power consumption lower than 1 mW, enabled by system- and block-level noise optimisation and an analogue feedback loop that enables fast and accurate regulation of the detected photocurrent level.
Abstract: This paper presents the implementation of the first fully integrated pulse oximeter front-end with a power consumption lower than 1 mW. This is enabled by system- and block-level noise optimisation, also detailed in the manuscript. The proposed design features an analogue feedback loop that enables fast and accurate regulation of the detected photocurrent level and a serial-to-parallel interface allowing for extensive programmability of several operation parameters. The front-end was fabricated in the AMS 0.35 μm technology and occupies an area of 1.35 mm2 . Extensive measured results, both electrical and physiological from human subjects are reported, demonstrating an estimated SNR of 39 dB and ability to detect 2% changes in SpO2, similar to commercial pulse oximeters. This is despite the constrained power consumption which amounts to 0.31 mW for the LEDs and 0.53 mW for the rest of the front-end from a 3.3 V supply. Statistical results from 20 chips verify good matching across the Red and Infrared channels of the front-end and the accurate operation of the proposed analogue feedback loop.

Journal ArticleDOI
TL;DR: This paper presents an in-depth treatment of SSF Biquad in the nW-power regime, analyzing its power and area tradeoffs with gain, linearity and noise.
Abstract: Most biopotential readout front-ends rely on the g m- C lowpass filter (LPF) for forefront signal conditioning. A small g m realizes a large time constant ( τ = C / g m) suitable for ultra-low-cutoff filtering, saving both power and area. Yet, the noise and linearity can be compromised, given that each g m cell can involve one or several noisy and nonlinear V- I conversions originated from the active devices. This paper proposes the subthreshold-source-follower (SSF) Biquad as a prospective alternative. It features: 1) a very small number of active devices reducing the noise and nonlinearity footsteps; 2) No explicit feedback in differential implementation, and 3) extension of filter order by cascading. This paper presents an in-depth treatment of SSF Biquad in the nW-power regime, analyzing its power and area tradeoffs with gain, linearity and noise. A gain-compensation (GC) scheme addressing the gain-loss problem of NMOS-based SSF Biquad due to the body effect is also proposed. Two 100-Hz 4th-order Butterworth LPFs using the SSF Biquads with and without GC were fabricated in 0.35- μm CMOS. Measurement results show that the non-GC (GC) LPF can achieve a DC gain of -3.7 dB (0 dB), an input-referred noise of 36 μV rms (29 μV rms ), a HD3@60 Hz of -55.2 dB ( - 60.7 dB) and a die size of 0.11 mm2 (0.08 mm2). Both LPFs draw 15 nW at 3 V. The achieved figure-of-merits (FoMs) are favorably comparable with the state-of-the-art.

Journal ArticleDOI
TL;DR: A fully integrated sub-1 V 3-lead wireless ECG System-on-Chip for wireless body sensor network applications that includes a two-channel ECG front-end with a driven-right-leg circuit, a custom-designed 16-bit microcontroller, two banks of 16 kb SRAM, and a MICS band transceiver.
Abstract: This paper presents a fully integrated sub-1 V 3-lead wireless ECG System-on-Chip (SoC) for wireless body sensor network applications. The SoC includes a two-channel ECG front-end with a driven-right-leg circuit, an 8-bit SAR ADC, a custom-designed 16-bit microcontroller, two banks of 16 kb SRAM, and a MICS band transceiver. The microcontroller and SRAM blocks are able to operate at sub-/near-threshold regime for the best energy consumption. The proposed SoC has been implemented in a standard 0.13- μm CMOS process. Measurement results show the microcontroller consumes only 2.62 pJ per instruction at 0.35 V . Both microcontroller and memory blocks are functional down to 0.25 V. The entire SoC is capable of working at single 0.7-V supply. At the best case, it consumes 17.4 μW in heart rate detection mode and 74.8 μW in raw data acquisition mode under sampling rate of 500 Hz. This makes it one of the best ECG SoCs among state-of-the-art biomedical chips.

Journal ArticleDOI
TL;DR: A fully integrated high-sensitivity patch-clamp system is proposed for single-molecule deoxyribonucleic acid (DNA) analysis using a nanopore sensor and will functionalize single and multiple solid-state nanopores formed in integrated microfluidic devices for advanced DNA analysis, in scientific and diagnostic applications.
Abstract: In this paper, a fully integrated high-sensitivity patch-clamp system is proposed for single-molecule deoxyribonucleic acid (DNA) analysis using a nanopore sensor. This system is composed of two main blocks for amplification and compensation. The amplification block is composed of three stages: 1) a headstage, 2) a voltage-gain difference amplifier, and 3) a track-and-hold circuit, that amplify a minute ionic current variation sensed by the nanopore while the compensation block avoids the headstage saturation caused by the input parasitic capacitances during sensing. By employing design techniques novel for this application, such as an instrumentation-amplifier topology and a compensation switch, we minimize the deleterious effects of the input-offset voltage and the input parasitic capacitances while attaining hardware simplicity. This system is fabricated in a 0.35 μm 4M2P CMOS process and is demonstrated using an α-hemolysin protein nanopore for detection of individual molecules of single-stranded DNA that pass through the 1.5 nm-diameter pore. In future work, the refined system will functionalize single and multiple solid-state nanopores formed in integrated microfluidic devices for advanced DNA analysis, in scientific and diagnostic applications.

Journal ArticleDOI
TL;DR: The low-VDD receiver introduces a replica super-regenerative receiver as a method to reject common-mode noise sources, such as supply/substrate coupling, thereby reducing undesired self-oscillations and improving BER.
Abstract: A 0.16 nJ/b MICS transmitter and 0.18 nJ/b super-regenerative receiver are demonstrated, where each is specifically designed to operate in the near-threshold region. The low-VDD transmitter utilizes a sub-harmonic injection-locked ring oscillator, edge combiner for frequency multiplication, and class-C power amplifier. The low-VDD receiver introduces a replica super-regenerative receiver as a method to reject common-mode noise sources, such as supply/substrate coupling, thereby reducing undesired self-oscillations and improving BER. Designed in a 90-nm CMOS process, the test-chip measurements show a sensitivity of -80 dBm at 500 kb/s and -65 dBm at 1 Mb/s, respectively, at a BER less than 10-3, with 340 μW total power.

Journal ArticleDOI
TL;DR: A high-power analog signal processing IC presented for the low-power heart rhythm analysis, offering all the functionality of acquiring multiple high quality intra-cardiac signals, requiring only a few limited numbers of external passives.
Abstract: A low-power analog signal processing IC is presented for the low-power heart rhythm analysis. The ASIC features 3 identical, but independent intra-ECG readout channels each equipping an analog QRS feature extractor for low-power consumption and fast diagnosis of the fatal case. A 16-level digitized sine-wave synthesizer together with a synchronous readout circuit can measure bio-impedance in the range of 0.1-4.4 kΩ with 33 mΩrms resolution and higher than 97% accuracy. The proposed 25 mm2 ASIC consumes only 13 μA from 2.2 V. It is a highly integrated solution offering all the functionality of acquiring multiple high quality intra-cardiac signals, requiring only a few limited numbers of external passives.

Journal ArticleDOI
TL;DR: A fully integrated active voltage doubler in CMOS technology using offset-controlled high speed comparators for extending the range of inductive power transmission to implantable microelectronic devices (IMD) and radio-frequency identification (RFID) tags.
Abstract: In this paper, we present a fully integrated active voltage doubler in CMOS technology using offset-controlled high speed comparators for extending the range of inductive power transmission to implantable microelectronic devices (IMD) and radio-frequency identification (RFID) tags. This active voltage doubler provides considerably higher power conversion efficiency (PCE) and lower dropout voltage compared to its passive counterpart and requires lower input voltage than active rectifiers, leading to reliable and efficient operation with weakly coupled inductive links. The offset-controlled functions in the comparators compensate for turn-on and turn-off delays to not only maximize the forward charging current to the load but also minimize the back current, optimizing PCE in the high frequency (HF) band. We fabricated the active voltage doubler in a 0.5-μm 3M2P std . CMOS process, occupying 0.144 mm2 of chip area. With 1.46 V peak AC input at 13.56 MHz, the active voltage doubler provides 2.4 V DC output across a 1 kΩ load, achieving the highest PCE = 79% ever reported at this frequency. In addition, the built-in start-up circuit ensures a reliable operation at lower voltages.

Journal ArticleDOI
TL;DR: The basic technology to enable low-cost quantitative home-based monitoring of an important clinical biomarker of inflammatory disease may already be present in the patient's home, and these results were found to be in very close agreement with measurements obtained for the same assay using a laboratory-based instrument.
Abstract: We demonstrate the use of a camera phone as a low-cost optical detector for quantitative analysis of a high-sensitivity C-reactive protein (hs-CRP) enzyme-linked immunosorbent assay (ELISA). The camera phone was used to acquire images of the ELISA carried out in a conventional 96 well plate. Colorimetric analysis of the images was used to determine a standard curve that exhibited excellent agreement with a fitted 4-parameter logistic model (R2=0.998). The limit of detection (LOD) for this approach was determined to be 0.026±0.002 μg/ml (1.035±0.079 μM) CRP. Furthermore, these results were found to be in very close agreement with measurements obtained for the same assay using a laboratory-based instrument. These findings indicate the basic technology to enable low-cost quantitative home-based monitoring of an important clinical biomarker of inflammatory disease may already be present in the patient's home.

Journal ArticleDOI
Xin Yi1, Jun Jia1, Simin Deng1, S. G. Shen, Qing Xie1, Guoxing Wang1 
TL;DR: A blink restoration system for uni-lateral facial paralyzed patients is described and achieves restoration of synchronized blink through processing the myoelectric signal of orbicularis oculi at the normal side in real-time as the trigger to stimulate the paralyzed eyelid.
Abstract: Patients suffering from facial paralysis are on the hazard of disfigurement and loss of vision due to loss of blink function. Functional-electrical stimulation (FES) is one possible way of restoring blink and other functions in these patients. A blink restoration system for uni-lateral facial paralyzed patients is described in this paper. The system achieves restoration of synchronized blink through processing the myoelectric signal of orbicularis oculi at the normal side in real-time as the trigger to stimulate the paralyzed eyelid. Design issues are discussed, including EMG processing, stimulating strategies and real-time artifact blanking. Two artifact removal approaches based on sample and hold and digital filtering technique are proposed and implemented. Finally, the whole system has been verified on rabbit models.