scispace - formally typeset
Journal ArticleDOI

Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images

Reads0
Chats0
TLDR
In this article, a technique to measure telecentricity errors using EUV mask images from an actinic mask inspection tool, called the SEMATECH High NA Actinic Reticle Review Project (SHARP), is presented.
Abstract
Nontelecentric illumination in extreme ultraviolet (EUV) lithography leads to pattern shifts through focus called telecentricity errors. As the industry moves toward finer pitch structures and higher numerical apertures (NA) to improve resolution, the effects of telecentricity errors become more significant. These telecentricity errors are dependent on pattern pitch, pattern type, lens aberrations, mask stack, to name a few. In this paper, a novel technique to measure telecentricity errors using EUV mask images from an actinic mask inspection tool, called the SEMATECH High NA Actinic Reticle Review Project (SHARP) is presented. SHARP is SEMATECH's second generation actinic mask imaging tool developed by Lawrence Berkeley National Laboratory. The SHARP can image masks at different numerical aperture settings, even beyond the currently available scanner NA of 0.33 (high-NA EUV) and also has a set of programmable illuminator choices. A tuned multilayer EUV mask blank was fabricated with test structures optimized for imaging on SHARP. The test structures were designed to cover a variety of critical dimensions and pitches. The mask design was fabricated on a tuned multilayer blank optimized for NA > 0.4. The mask was fabricated at Advanced Mask Technology Center and imaged on the SHARP. SHARP images were analyzed in software customized for edge position extraction of features. Pattern shifts through focus were calculated for a variety of pitches under different NA and illumination settings. The results show a monotonic increase in pattern shifts as NA increases. Also, at a given NA, the pattern shift is dependent on pattern pitch. The paper provides a detailed discussion on the experiment setup, analysis of the results and applicability of these results to high volume manufacturing of semiconductor devices using production EUV scanners.

read more

Citations
More filters
Proceedings ArticleDOI

Current challenges and opportunities for EUV lithography

TL;DR: The semiconductor industry is on the threshold of using extreme ultraviolet (EUV) lithography in high volume manufacturing (HVM), but there are several areas where improvement in this lithographic technology would be very beneficial, most notably exposure tool reliability (particularly the light source) and mask contamination as mentioned in this paper.
Proceedings ArticleDOI

Imaging impact of multilayer tuning in EUV masks, experimental validation

TL;DR: In this paper, a comprehensive interpretation of the mask 3D impact on pattern shift at wafer level is presented based on simulated diffraction pixels supported by experimental verification at both mask and wafer levels.
Proceedings ArticleDOI

Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks

TL;DR: In this paper, the authors compare the imaging performance of several options currently under consideration for use in 0.33 and higher numerical aperture (NA) extreme ultraviolet (EUV) mask stacks, Mo/Si ML reflective coatings with 40 bilayers, Ru/Si multilayer (ML) reflective coating with 20 bilayers and a new thinner Ni-based absorber layer on each of these mask stacks.
Journal ArticleDOI

High-NA EUV lithography: current status and outlook for the future

TL;DR: High-NA extreme ultraviolet (EUV) lithography is currently in development at ASML and Carl Zeiss as discussed by the authors , which results in wafer field sizes of 26 mm × 16.5 mm, half that of lower NA EUV tools and optical scanners.
Journal ArticleDOI

Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope

TL;DR: The SHARP microscope as mentioned in this paper is an extreme ultraviolet (EUV)-wavelength, synchrotron-based microscope dedicated to advanced EUV photomask research, which is designed to emulate current and future generations of EUV lithography (EUVL).
References
More filters
Journal ArticleDOI

Improved reflectance and stability of Mo-Si multilayers

TL;DR: In this paper, an interface-engineered Mo-Si multilayer with 70% reflectance and 049nm bandwidth at 127-nm wavelength was developed with 50 bilayers consisting of alternating Mo and Si layers separated by thin boron carbide layers.
Journal ArticleDOI

Mask blanks for extreme ultraviolet lithography: Ion beam sputter deposition of low defect density Mo/Si multilayers

TL;DR: In this paper, the authors report on the growth of low defect density Mo/Si multilayer (ML) coatings in a deposition system specifically designed for extreme ultraviolet lithography mask blank fabrication.
Proceedings ArticleDOI

Interactions of 3D mask effects and NA in EUV lithography

TL;DR: In this paper, a trade-off between image quality and mask efficiency is discussed, and it is shown that by adjusting the demagnification of the lithography system, one can recover both image quality, and the mask efficiency, from high NA (>0.33), and the associated higher angles of incidence on the reflective EUV mask.
Proceedings ArticleDOI

Actinic characterization and modeling of the EUV mask stack

TL;DR: In this article, a detailed mask stack modeling based on experimental actinic characterization of the EUV mask is presented, where a dedicated mask has been fabricated with line/space gratings down to 40nm half-pitch (at mask level, i.e., 10nm at wafer).
Related Papers (5)