scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 1997"


Journal ArticleDOI
TL;DR: A new lithography paradigm that is based on deformation of a resist by compression molding rather than altering its chemical structure by radiation, and is designed to fabricate nanostructures inexpensively with high throughput is presented.
Abstract: New developments, further details, and applications of imprint lithography are presented. Arrays of 10 nm diameter and 40 nm period holes were imprinted not only in polymethylmethacrylate (PMMA) on silicon, but also in PMMA on gold substrates. The smallest hole diameter imprinted in PMMA is 6 nm. All the PMMA patterns were transferred to a metal using a liftoff. In addition, PMMA mesa’s of a size from 45 nm to 50 μm were obtained in a single imprint. Moreover, imprint lithography was used to fabricate the silicon quantum dot, wire, and ring transistors, which showed the same behavior as those fabricated using electron (e)-beam lithography. Finally, imprint lithography was used to fabricate nanocompact disks with 10 nm features and 400 Gbits/in.2 data density—near three orders of magnitude higher than current critical dimensions (CDs). A silicon scanning probe was used to read back the data successfully. The study of wear indicates that due to the ultrasmall force in tapping mode, both the nano-CD and the ...

1,002 citations


Journal ArticleDOI
TL;DR: In this paper, the authors present a review on the state of knowledge of surface phenomena behind adhesion in surface micromechanical structures, including surface roughening and chemical modification of polycrystalline silicon surfaces.
Abstract: We present a review on the state of knowledge of surface phenomena behind adhesion in surface micromechanical structures. After introducing the problem of release-related and in-use adhesion, a theoretical framework for understanding the various surface forces that cause strong adhesion of micromechanical structures is presented. Various approaches are described for reducing the work of adhesion. These include surface roughening and chemical modification of polycrystalline silicon surfaces. The constraints that fabrication processes such as release, drying, assembly, and packaging place on surface treatments are described in general. Finally, we briefly outline some of the important scientific and technological issues in adhesion and friction phenomena in micromechanical structures that remain to be clarified.

873 citations


Journal ArticleDOI
TL;DR: In this article, the vapor-liquid-solid (VLS) reaction using silane as the Si source gas and Au as the mediating solvent was used to grow Si wires.
Abstract: Silicon nanowires (whiskers) have been grown on Si(111) via the vapor–liquid–solid (VLS) reaction using silane as the Si source gas and Au as the mediating solvent. The silane partial pressure and temperature ranges were 0.01–1 Torr and 320–600 °C, respectively. Growth at high partial pressure and low temperature leads to the growth of Si nanowires as thin as 10 nm. These wires are single crystals but exhibit growth defects such as bending and kinking. Lowering the silane partial pressure leads to an increase in the wire width and a reduction in the tendency to form growth defects. At low pressure, 40–100 nm wide well-formed wires have been grown at 520 °C. The VLS reaction using silane allows the growth of Si wires, which are significantly thinner than those grown previously using SiCl4.

666 citations


Journal ArticleDOI
TL;DR: In this paper, a method to obtain homogeneous Schottky barrier heights from effective barrier heights and ideality factors that are determined from currentvoltage (I-V) characteristics of metal-semiconductor contacts is presented.
Abstract: A new and simple-to-use method to obtain homogeneous Schottky barrier heights from effective barrier heights and ideality factors that are determined from current-voltage (I-V) characteristics of metal-semiconductor contacts is presented. This approach is justified by a theory of metal-semiconductor interfaces with laterally inhomogeneous distributions of barrier heights. Effective barrier heights and ideality factors were determined from I-V characteristics of Si and GaN Schottky contacts and a linear reduction of the effective barrier heights with increasing ideality factors was always observed. These findings are explained by numerical simulations of inhomogeneous Schottky contacts which are based on theoretical results by Tung [Phys. Rev. B 45, 13509 (1992)]. The homogeneous barrier heights of metal-semiconductor contacts are obtained by a linear extrapolation of the effective barrier heights to nif ≅ 1.01, the value of the ideality factor characteristic for image-force lowering of Schottky barriers only.

360 citations


Journal ArticleDOI
TL;DR: In this paper, the fundamental mechanism underlying hydrogen-induced exfoliation of silicon, using a combination of spectroscopic and microscopic techniques, was investigated, and the evolution of the internal defect structure as a function of implanted hydrogen concentration and annealing temperature was studied.
Abstract: We have investigated the fundamental mechanism underlying the hydrogen-induced exfoliation of silicon, using a combination of spectroscopic and microscopic techniques. We have studied the evolution of the internal defect structure as a function of implanted hydrogen concentration and annealing temperature and found that the mechanism consists of a number of essential components in which hydrogen plays a key role. Specifically, we show that the chemical action of hydrogen leads to the formation of (100) and (111) internal surfaces above 400 °C via agglomeration of the initial defect structure. In addition, molecular hydrogen is evolved between 200 and 400 °C and subsequently traps in the microvoids bounded by the internal surfaces, resulting in the build-up of internal pressure. This, in turn, leads to the observed “blistering” of unconstrained silicon samples, or complete layer transfer for silicon wafers joined to a supporting (handle) wafer which acts as a mechanical “stiffener.”

319 citations


Journal ArticleDOI
TL;DR: In this article, the authors present a two-dimensional Monte Carlo simulation of profile evolution during the overetching step of polysilicon-on-insulator structures, which considers explicitly electric field effects during the charging transient, etching reactions of energetic ions impinging on the poly-Si, and forward inelastic scattering effects.
Abstract: We present a two-dimensional Monte Carlo simulation of profile evolution during the overetching step of polysilicon-on-insulator structures, which considers explicitly (a) electric field effects during the charging transient, (b) etching reactions of energetic ions impinging on the poly-Si, and (c) forward inelastic scattering effects. Realistic energy and angular distributions for ions and electrons are used in trajectory calculations through local electric fields near and in the microstructure. Transient charging of exposed insulator surfaces is found to profoundly affect local sidewall etching (notching). Ion scattering contributions are small but important in matching experimental notch profiles. The model is validated by capturing quantitatively the notch characteristics and also the effects of the line connectivity and open area width on the notch depth, which have been observed experimentally by Nozawa et al. [Jpn. J. Appl. Phys. 34, 2107 (1995)]. Elucidation of the mechanisms responsible for the effect facilitates the prediction of ways to minimize or eliminate notching.

246 citations


Journal ArticleDOI
TL;DR: In this article, the authors demonstrated a process for fabricating nanometer-scale electromechanical structures of diverse geometries in single crystal silicon, using silicon on insulator substrates.
Abstract: We have demonstrated a process for fabricating nanometer-scale electromechanical structures of diverse geometries in single crystal silicon, using silicon on insulator substrates. We pattern the substrate using high resolution electron beam lithography with 100 keV electrons followed by Al evaporation and liftoff. The Al is used as an etch mask in CF4 reactive ion etching to pattern the top silicon layer. We then undercut structures using a buffered oxide etch. The structures were made from substrates having a top silicon thickness of 200 or 50 nm, and a buried oxide thickness of 400 nm. With this process we have made a variety of movable structures. We describe the performance of an electrostatically driven Fabry–Perot interferometer that consists of a μm sized pad suspended by wires that are 100–200 nm wide. We have also made much smaller mechanical structures such as suspended silicon beams as narrow as 30 nm.

167 citations


Journal ArticleDOI
TL;DR: In this paper, a new concept based on a Fabry-Perot interferometer for the generation of nondiffracting Bessel beams is described and proposed for potential applications in microlithography such as the fabrication of small isolated patterns.
Abstract: A new concept based on a Fabry–Perot interferometer for the generation of nondiffracting Bessel beams is described and proposed for potential applications in microlithography such as the fabrication of small isolated patterns. It was experimentally demonstrated that the depth of focus can be increased by a factor of about 2, and simultaneously the transverse resolution improved by a factor of 1.6, when using this technique to image contact holes. The properties of simultaneous imaging of two contact holes were also investigated. It was shown experimentally that, even in the most critical case (when the first diffraction rings overlap), undesirable interference effects between the adjacent contact holes can be eliminated by means of a phase shifting technique.

162 citations


Journal ArticleDOI
TL;DR: In this article, the authors evaluate the sources of energy available to drive microstructure changes in thin films, both during and after deposition, and discuss the prospects for controlling the micro-structure of thin film interconnection metals as linewidths are predicted to decrease below 0.1 μm by the year 2010.
Abstract: The microstructure of semiconductor metallization is becoming increasingly important as linewidths decrease below 0.5 μm. At these dimensions, reliability and performance are greatly influenced by specific microstructural features rather than only by the average material properties. In this article, we address the prospects for controlling the microstructure of thin film interconnection metals as linewidths are predicted to decrease below 0.1 μm by the year 2010. First, we evaluate the sources of energy available to drive microstructure changes in thin films, both during and after deposition. The internal energy sources considered are grain boundaries, interfaces, surfaces, strain, solidification, crystallization, solute precipitation, and phase transformations, with energy densities ranging from less than 1 meV/atom to greater than 100 meV/atom. The external energy sources considered are particle bombardment during deposition, mechanical deformation, and radiation damage, which may deliver energies greater than 100 eV/atom. Second, we review examples of microstructure changes in terms of these energy sources. These examples include the dependence of Al–Cu and Ti fiber texture on the roughness of SiO2, orientation change and abnormal Cu grain growth coupled to the precipitation of Co in Cu–Co alloys, and in-plane orientation selection during phase transformation of TiSi2 in very narrow lines. A substantial degree of microstructure control is also achieved in films deposited with off-normal incidence energetic particle bombardment, which has been used to produce both in-plane and out-of-plane crystallographic orientations in metals (Mo, Nb), nitrides (AlN), and oxides (ZrO2). Drawing on these examples, we discuss the prospects for microstructure control in future semiconductor metallization with respect to the list of energy sources, the decreasing dimensions, and the changing fabrication processes. One mechanism in particular, discontinuous precipitation of supersaturated solute atoms, is highlighted as having a substantial amount of stored energy available to drive microstructure evolution, and may provide a means to more fully control the microstructure of semiconductor metallization.

161 citations


Journal ArticleDOI
TL;DR: In this paper, a new nanofabrication procedure has been developed for making thermocouple probes for high-resolution scanning thermal microscopy, which can achieve a spatial resolution of 24 nm.
Abstract: A new nanofabrication procedure has been developed for making thermocouple probes for high-resolution scanning thermal microscopy. Thermocouple junctions were placed at the end of SiNx cantilever probe tips and were typically 100–500 nm in diameter. Cantilever bending due to thermal expansion mismatch was minimized for Au–Ni, Au–Pt, and Au–Pd thermocouples, by carefully choosing thermal probe materials, film thicknesses, and deposition conditions. A spatial resolution of 24 nm was demonstrated for thermal microscopy although the noise-equivalent limit of 10 nm was estimated from experimental data. Using thermo-power measurements, a simple model was developed to calculate the tip-sample thermal resistance. Model-based calculations, correlations between topographical and thermal features, as well as experiments in different gaseous and humidity environments indicate that the dominant tip-surface heat conduction is most likely through a liquid film bridging the tip and the sample surface, and not through the surrounding gas, solid-solid point contact, or near-field radiation. Dynamic measurements within a 100 kHz bandwidth showed a time constant of about 0.15±0.02 ms which was attributed to the thermal time constant of the whole cantilever. Calculations suggested the RC electrical time constant and the thermal time constant of the thermocouple junction to be on the order of 10 ns which, however, could not be experimentally probed.

140 citations


Journal ArticleDOI
TL;DR: In this article, the authors proposed electrostatic trapping as a new method to bridge the electrodes with a single conducting nanoparticle in a controlled way, which was tested successfully on Pd nanoclusters and carbon nanotubes.
Abstract: Electron-beam deposition is used to fabricate free-standing carbon nanoelectrodes separated by a gap of less than 5 nm. Fabrication is carried out under direct visual control in an electron microscope. After coating the carbon electrodes with a thin metal film ~e.g., AuPd! such structures can be used to study electrical transport properties of single molecules ~e.g., conjugated polymers! or metal nanoclusters. The fabrication process of the nanowires is described in detail. Furthermore, we suggest electrostatic trapping as a new method to bridge the electrodes with a single conducting nanoparticle in a controlled way. This principle was tested successfully on Pd nanoclusters and carbon nanotubes. © 1997 American Vacuum Society.@S0734-211X~97!13704-0#

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate that the scatterometer measurement technique is robust to changes in the thickness of underlying films, and that there is sufficient information in one signature to determine four process parameters at once, namely the linewidth and thickness of the photoresist grating, and the thicknesses of two underlying film layers.
Abstract: Scatterometry, the analysis of light diffraction from periodic structures, is shown to be a versatile metrology technique applicable to a number of processes involved in the production of microelectronic devices. We have demonstrated that the scatterometer measurement technique is robust to changes in the thickness of underlying films. Indeed, there is sufficient information in one signature to determine four process parameters at once, namely the linewidth and thickness of the photoresist grating, and the thicknesses of two underlying film layers. Results from determining these dimensions on a 25 wafer study show excellent agreement between the scatterometry measurements and measurements made with other metrology instruments [top-down and cross-section scanning electron microscopy (SEM) and ellipsometer]. In particular, measurements of nominal 0.35 μm lines agree well with cross-section SEM measurements; the average bias is −1.7 nm. Similarly, for nominal 0.25 μm lines, the average bias is −7.3 nm. In ad...

Journal ArticleDOI
TL;DR: In this article, the attachment of astrocytes on nanoscale textured silicon surfaces was investigated using a reactive ion etch process designed to produce nanometer-scale columnar structures in silicon (silicon grass).
Abstract: Advances in neural probe technology are currently hindered by a lack of understanding of the cues and mechanisms responsible for rejection and isolation of probes implanted in the central nervous system. To gain additional insight into this topic, the attachment of astrocytes on nanoscale textured silicon surfaces was investigated. Silicon surfaces were textured using a reactive ion etch process designed to produce nanometer-scale columnar structures in silicon (“silicon grass”). Standard photolithographic techniques were used to pattern the surface thereby allowing selective modification of the surface texture by a wet chemical etch for silicon. The resulting surface allowed a side-by-side presentation of different surface textures to cells grown in culture. The silicon surfaces were characterized by scanning electron microscopy (SEM) and scanning Auger electron microscopy. The cell attachment and morphology were observed with laser scanning confocal microscopy and SEM. Transformed astrocytes from a cont...

Journal ArticleDOI
TL;DR: In this article, the optimization of processes for producing sub-20 nm soft x-ray zone plates, using a general purpose electron beam lithography system and commercial resist technologies, was reported.
Abstract: We report here the optimization of processes for producing sub-20 nm soft x-ray zone plates, using a general purpose electron beam lithography system and commercial resist technologies. We have critically evaluated the failure point of the various process steps and where possible chosen alternate methods, materials, or otherwise modified the process. Advances have been made in most steps of the process, including the imaging resist, pattern conversion for electron beam exposure, and pattern transfer. Two phase shifting absorber materials, germanium and nickel, were compared. Zone plates with 30 nm outer zones have been fabricated in both germanium and nickel with excellent quality using polymethyl methyl accrylate and zones as small as 20 nm have been fabricated in nickel using the calixarene resist. The total efficiency as well as the efficiency of different regions of the zone plates were measured. All zone plates have demonstrated good efficiencies, with nickel zone plates performing better than german...

Journal ArticleDOI
TL;DR: In this paper, a monolayer deposition of nanoscale colloidal particles (Au citrate sols) was demonstrated by employing an aminofunctional silane [3-(2-Aminoethlyamino) propyltrimethoxysilane] as a coupling agent.
Abstract: The monolayer deposition of nanoscale colloidal particles (Au citrate sols) was demonstrated by employing an aminofunctional silane [3-(2-Aminoethlyamino) propyltrimethoxysilane] as a coupling agent. The compatibility of this colloidal Au deposition method with conventional electron beam lithography techniques was examined, and the two-dimensional patterning of the Au colloidal monolayer was demonstrated. Using this fabrication method, a proposal for a single electron device structure based on nanoscale (2 nm diameter) gold colloidal particles was made.

Journal ArticleDOI
TL;DR: In this paper, a chemical charge coupled device (CCD) is used to separate and concentrate ions in solution with a predicted detection limit of <1× 10−13 M. Initial modeling of a three-phase chemical CCD predicts the ability to select and concentrate ionic constituents by many orders of magnitude, according to their diffusion coefficients.
Abstract: In a novel chemical sensor, the chemical charge coupled device (CCD), electrostatic fields in nanocapillary channels smaller than a Debye length will be used to separate and concentrate ions in solution with a predicted detection limit of <1× 10−13 M. Conventional integrated circuit techniques are used to deposit thin dielectric and amorphous-Si films on a Si substrate and to lithographically define channel and reservoir structures. Hollow Si3N4 nanochannels with heights between 20 and 100 nm, widths between 0.5 and 20 μm, and lengths up to 5 mm have been fabricated by wet chemical etching of a sacrificial amorphous-Si layer in tetramethylammonium hydroxide. Initial modeling of a three-phase chemical CCD predicts the ability to select and concentrate ionic constituents by many orders of magnitude, according to their diffusion coefficients.

Journal ArticleDOI
TL;DR: In this article, the authors studied the feasibility of projecting photolithography at 157 nm using a silylation resist process and a home-built, small-field, 0.5-numerical aperture stepper.
Abstract: Projection photolithography at 157 nm was studied as a possible extension of current 248-nm and planned 193-nm technologies. At 157 nm, lasers are available with ∼8 W average power. Their line width is narrow enough as to enable the use of catadioptric, and maybe all-refractive optics similar to those used at 248 and 193 nm. The practicality of such designs is further enhanced by measurements of calcium fluoride, which show that its absorption is sufficiently small (∼0.004 cm−1) at 157 nm. Binary masks with chromium and chromeless phase shifting masks were fabricated on calcium fluoride as the transparent substrate. Robust photoresists at 157 nm still need to be developed, and they probably will be of the top surface imaging or bilayer type. Indeed, a silylation resist process was shown to have characteristics at 157 nm similar to those at 193 nm. The calcium fluoride based masks were integrated with the silylation process and a home-built, small-field, 0.5-numerical aperture stepper to provide projection printing with features as small as 80 nm. These initial results indicate that 157-nm lithography has the potential to become a manufacturing technology at dimensions well below 100 nm.

Journal ArticleDOI
TL;DR: In this paper, several mathematical approaches for quantifying the three-dimensional topographical structure from scanning probe microscopy images are evaluated, including root-mean-square roughness, Fourier deconvolution, and the two-dimensional autocovariance function.
Abstract: Several mathematical approaches for quantifying the three-dimensional topographical structure from scanning probe microscopy images are evaluated. Variational, i.e., scale-dependent, roughness based on root-mean-square roughness, Fourier deconvolution, and the two-dimensional autocovariance function are compared for surfaces with widely varying character in order to develop criteria for accurate quantification. Thermally evaporated gold, a calibration grid, polycrystalline Si3N4, and silicon fracture surfaces serve as models for these techniques. The role of image artifacts on each approach is detailed.

Journal ArticleDOI
TL;DR: The scattering with angular limitation projection electron-beam lithography (SCALPEL) approach combines the high resolution and wide process latitude inherent in electron beam lithography with the throughput of a parallel projection system as mentioned in this paper.
Abstract: There are several candidate lithography technologies for the postoptical era early in the next century. The scattering with angular limitation projection electron-beam lithography (SCALPEL) approach combines the high resolution and wide process latitude inherent in electron beam lithography with the throughput of a parallel projection system. In the SCALPEL system, a mask consisting of a low atomic number membrane and a high atomic number pattern layer is uniformly illuminated with high energy (100 keV) electrons. The entire mask structure is essentially transparent to the electron beam so very little of the beam energy is deposited in it. The portions of the beam which pass through the high atomic number pattern layer are scattered through angles of a few milliradians. An aperture in the back focal plane of the electron projection imaging lenses stops the scattered electrons and produces a high contrast image at the plane of the semiconductor wafer. This article describes how a lithography system based o...

Journal ArticleDOI
TL;DR: X-raylithography (XRL) has been under development since the early 1980s, and has reached a state of relative maturity as mentioned in this paper, which is the technology best positioned to succeed optical lithography and be available for timely insertion into manufacturing for 0.13 μm ground rules, as well as to be extendible to 0.10 μm and below.
Abstract: X-raylithography (XRL) has been under development since the early 1980s, and has reached a state of relative maturity. Numerous devices, including dense and complex integrated circuits, have been fabricated using XRL for one or more critical levels. While development of XRL technology itself continues, XRL is in use in several locations around the world for process development of advanced DRAM (1 Gb and beyond) and logic (0.18 μm and below) integrated circuits. Most of the tool set in use today comes from commercial vendors. Resolution using XRL has been demonstrated at dimensions down to 70 nm or below. Excellent critical dimension (CD) control results have been achieved in simple, single-layer, commercially available resists; for example, a total CD variation of 22 nm (3σ) has been achieved using a mask with a CD variation of 18 nm (3σ). Because of these capabilities, along with the experience and relative maturity of the technology, we believe that XRL is the technology best positioned to succeed optical lithography and be available for timely insertion into manufacturing for 0.13 μm ground rules, as well as to be extendible to 0.10 μm and below. In order to be accepted for manufacturing, however, significant work remains to be done. In particular, new e-beam mask writers and wafer aligners are needed, along with improved mask inspection and repair tools. Mask fabrication processes must also be advanced. The ability to satisfy these needs is not expected to be limited by fundamental physics, but rather is expected to depend on skilled engineering design and implementation.

Journal ArticleDOI
TL;DR: In this article, anisotropic etching and steam oxidation of AlAs mask layers are used for the fabrication of high quality two-dimensional and three-dimensional (3D) photonic crystals.
Abstract: We have developed new methods for the fabrication of high quality two-dimensional (2D) and three-dimensional (3D) photonic crystals. These techniques involve anisotropic etching and steam oxidation of AlAs mask layers. We have made manufacturable 2D photonic crystals with high aspect ratios for use as micropolarizers and have measured extinction ratios larger than 800 to 1 between TE and TM modes transmitted through these structures. The new Al2O3 mask fabrication technique also allows us to fabricate 3D structures with up to six repeating layers in depth and over 90% attenuation in the band gap region. Here, we show the fabrication details and performance of 2D and 3D photonic crystals.

Journal ArticleDOI
TL;DR: In this paper, the acid generation mechanism with the protection ratio was discussed, and the relation of the acid generator mechanism with protection ratio with respect to acid generation was discussed in a partially tBOC-protected novolak.
Abstract: Radiation-induced reactions in chemically amplified resists based on deprotection of t-butoxycarbonyl groups have been investigated by both time-resolved (the pulse radiolysis methods) and steady-state optical absorption spectroscopy. Upon exposure of a partially tBOC-protected novolak by electron and synchrotron radiation beams, the yields of the intermediates contributing to the acid generation (phenoxyl radical and proton adducts of base resin) decreased with increasing the protection ratio of hydroxyl groups. Therefore, the efficiency of the acid generation is closely related with the protection ratio. The relation of the acid generation mechanism with the protection ratio was discussed.

Journal ArticleDOI
TL;DR: In this article, a method for the fabrication of planar single crystal silicon nanowires down to 8 nm in diameter was proposed, based on electron beam lithography followed by a metal liftoff process and a silicon plasma etch.
Abstract: A new method is proposed for the fabrication of planar single crystal silicon nanowires down to 8 nm in diameter. In this method silicon lines are defined on silicon-on-insulator with electron beam lithography followed by a metal liftoff process and a silicon plasma etch. Low temperature oxidation is then used to shrink these lines to a sub-10 nm diameter. Normal stress generated by the expansion of the viscous oxide during oxidation eventually stops the reaction, leaving a small silicon core at the center of the line. The effect of the crystallographic orientation of the line and the stress complications caused by the substrate are investigated.

Journal ArticleDOI
M. J. Vasile, Z. Niu, R. Nassar, W. Zhang, S. Liu 
TL;DR: In this paper, the authors present a method for controlling the ion milling to produce cavities with predefined, arbitrary geometric cross-sections by programming variations as a function of position into the algorithm that generates the dwell times in the pixel address scheme of a focused ion beam.
Abstract: Ion milling with a focused ion beam (FIB) is a potential method for making micromolds, which will then be the primary elements in the mass production of micro- or mini-objects by embossing or injection molding. The challenge lies in controlling the ion milling to produce cavities with predefined, arbitrary geometric cross-sections. This work involves programming variations as a function of position into the algorithm that generates the dwell times in the pixel address scheme of a FIB. These variations are done according to whether an axis of symmetry or a plane of symmetry determines the final geometry, and the result is 26 new cross-sectional shapes, such as hemispherical pits, parabolic pits, hemispherical domes, etc. The ion milling control programs were used to generate parabolic cross-section trenches, sinusoidal trenches, sinusoidal cross-section rings on an annulus, and hemispherical domes. We observed reasonable agreement between the shapes ion milled in Si(100) and the expected geometry. The dwel...

Journal ArticleDOI
TL;DR: In this article, the minimum beam energy that can achieve high aspect ratio structures (4:1) in single layer resists in a manufacturing environment is suggested as an optimum beam energy.
Abstract: General requirements for the use of electron beam lithography in direct write manufacturing of silicon integrated circuits are discussed. 50 keV is suggested as an optimum beam energy, since this is the minimum beam energy that can achieve high aspect ratio structures (4:1) in single layer resists in a manufacturing environment. Higher beam energies result in an inefficient exposure process requiring larger currents; this combination will lead to excessive resist and wafer heating. Lower voltages will require the use of top surface imaging or multilayer resists, which have concerns of processing complexity, resist charging, and defects. At 50 keV, some form of proximity correction is required to achieve reasonable control of critical dimensions. While one of the principle arguments for low voltage lithography is that it avoids the need for proximity correction, proximity correction is a solvable problem for large chips and is therefore a less risky approach than developing a reliable surface imaging resis...

Journal ArticleDOI
TL;DR: In this article, the structure and chemical bonding of organic films prepared using different organic precursors were investigated using scanning tunneling microscopy, Fourier transform infrared spectroscopy, and x-ray photoelectron spectrography.
Abstract: It has been shown that well-defined, ordered organic layers can be formed on the silicon (100) surface. This is achieved through the interaction of unsaturated C=C bonds with the oriented dimers of the reconstructed Si(100)-(2×1) surface. In this article, we present an investigation of the structure and chemical bonding of organic films prepared using different organic precursors. Data were obtained using scanning tunneling microscopy, Fourier-transform infrared spectroscopy, and x-ray photoelectron spectroscopy. The molecules investigated are cyclopentene, 3-pyrroline, and norbornadiene, representing prototypical cyclic, heterocyclic, and bicyclic unsaturated organic molecules, respectively. Each molecule has at least one unsaturated C=C bond.

Journal ArticleDOI
TL;DR: In this paper, the spatial selectivity of layer disordering induced in GaAs/AlGaAs quantum well heterostructures using SiO2 and Si3N4 capping and annealing was investigated using low temperature photoluminescence in conjunction with cross-sectional transmission electron microscopy.
Abstract: Spatial selectivity of layer disordering induced in GaAs/AlGaAs quantum well heterostructures using SiO2 and Si3N4 capping and annealing was investigated using low temperature photoluminescence in conjunction with cross-sectional transmission electron microscopy. Comparative study reveals opposite behaviors for patterned Si3N4 covered with SiO2 and patterned SiO2 covered with Si3N4. In the former, layer disordering occurs in the regions located under the SiO2 strips and in the latter, layer disordering surprisingly occurs under the Si3N4 strips while it is inhibited in the SiO2-capped areas. These results are in agreement with a proposed interdiffusion model based on the effect on Ga vacancy diffusion of the stress distribution generated in the heterostructure during annealing by the capping layers. This work clearly demonstrates that the diffusion of point defects, such as the Ga vacancies, which are responsible for the layer disordering, can be piloted by the stress field imposed to the semiconductor an...

Journal ArticleDOI
TL;DR: In this paper, a wire-channel and wrap-around-gate (WW) MOSFET was fabricated using electron beam lithography and reactive ion etching and the smallest devices have a 35 nm channel width, a 50 nm channel thickness, and a 70 nm channel length.
Abstract: Metal–oxide–semiconductor field-effect transistors (MOSFETs) with a wire-channel and wrap-around-gate (WW) structure were fabricated using electron beam lithography and reactive ion etching The smallest devices have a 35 nm channel width, a 50 nm channel thickness, and a 70 nm channel length Measurements showed that as the channel width of WW MOSFETs decreased from 75 to 35 nm short channel effects were significantly reduced: the subthreshold slope decreased from 356 to 80 mV/dec and the drain-induced barrier lowering decreased from 988 to 129 mV Furthermore, the reduction of channel width increases the drive current per unit channel width A multichannel WW MOSFET with a high current driving capability is discussed

Journal ArticleDOI
TL;DR: In this article, the authors compare two different approaches for fabricating arrays of holes using interferometric techniques and show that by applying an image reversal process to standard two-beam interference lithography, arrays of high aspect ratio holes can be generated.
Abstract: Optical interference lithography offers a robust patterning technology capable of achieving high spatial resolution over extremely large field sizes ( {approx}1 m ). Here, we compare two different approaches for fabricating arrays of holes using interferometric techniques. We show that by applying an image reversal process to standard two-beam interference lithography, arrays of high aspect ratio holes can be generated. This process scales to submicron periods and allows holes as small as 0.1 micron to be patterned. Next, we present an analysis of the multiple-beam approach for patterning holes. We demonstrate that while the formation of higher contrast intensity patterns is possible by interfering four or more beams, the shape and modulation depth of such patterns are inherently sensitive to relative phase variations.

Journal ArticleDOI
TL;DR: In this paper, low-temperature epitaxial growth of Si and Si1−xGex (referred to as SiGe, hereafter) was obtained using an industrial, 200 mm, single wafer chemical vapor deposition module operating at reduced pressure.
Abstract: Low-temperature epitaxial growth of Si and Si1−xGex (referred to as SiGe, hereafter) has been obtained using an industrial, 200 mm, single wafer chemical vapor deposition module operating at reduced pressure. Epitaxial Si and heteroepitaxial SiGe deposition with Ge content ⩽30% have been studied for buried channel applications in (PMOSFET) devices or as base for heterojunction bipolar transistors (HBTs). The dependence of Si and SiGe deposition rates on filling ratio and exposed windows and their evolution with the addition of HCl to the gas mixture are investigated. In contrast to selective Si growth where the global loading effect decreases slowly with temperature, the growth rate of SiGe at low temperature is strongly dependent on the oxide coverage. The addition of HCl into the gas mixture allows minimizing the dependence of the SiGe growth rate on both oxide coverage and window size. The effect of the addition of HCl on Ge and dopants incorporation is investigated on bare and/or device wafers. Result...