scispace - formally typeset
Patent

Methods and apparatus for performing statistical static timing analysis

Reads0
Chats0
TLDR
In this article, a method and an apparatus to perform static static timing analysis have been described, which includes performing statistical analysis on performance data of a circuit from a plurality of libraries at two or more process corners.
Abstract
A method and an apparatus to perform statistical static timing analysis have been disclosed. In one embodiment, the method includes performing statistical analysis on performance data of a circuit from a plurality of libraries at two or more process corners using a static timing analysis module, and estimating performance of the circuit at a predetermined confidence level based on results of the statistical analysis during an automated design flow of the circuit without using libraries at the predetermined confidence level.

read more

Citations
More filters
Patent

Systems and methods for single cell product path delay analysis

TL;DR: In this article, a method for qualifying a single cell with product path delay analysis is described, which includes designing a product using a model from an initial test site and creating performance path tests for one or more paths on the product.
Patent

Method for transmitting data transmission resource allocation information in wireless LAN system, and apparatus therefor

TL;DR: In this paper, a method for allocating resources for multi-user or multi-station (STA) data transmission in a wireless LAN system, and an apparatus therefor, is presented.
Patent

Selection of corners and/or margins using statistical static timing analysis of an integrated circuit

TL;DR: In this article, a computer-implemented statistical static timing analysis of an integrated circuit is presented, where a parameterized model of the integrated circuit for a plurality of paths is presented.
Patent

Generating delay values for different contexts of a circuit

TL;DR: In this article, a plurality of classification parameters is input and the classification parameters indicate selected ones of the characteristics, and each group includes one or more of the contexts and each context includes the plurality of characteristics.
Patent

Superposition of canonical timing value representations in statistical static timing analysis

TL;DR: In this article, a system and method to perform timing analysis in integrated circuit development involves defining an integrated circuit design as nodes representing components of the integrated circuit that are interconnected by edges representing wires.
References
More filters
Proceedings ArticleDOI

First-order incremental block-based statistical timing analysis

TL;DR: In this article, a canonical first order delay model is proposed to propagate timing quantities like arrival times and required arrival times through the timing graph in this canonical form and the sensitivities of all timing quantities to each of the sources of variation are available.
Proceedings ArticleDOI

Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal

TL;DR: An efficient statistical timing analysis algorithm that predicts the probability distribution of the circuit delay while incorporating the effects of spatial correlations of intra-die parametervariations, using a method based on principal component analysis.
Patent

Systems, methods, and apparatus to perform statistical static timing analysis

TL;DR: In this article, a method and an apparatus to perform static static timing analysis have been described, which includes performing statistical analysis on performance data of a circuit from a plurality of libraries at two or more process corners.
Patent

Method for correcting a mask design layout

TL;DR: In this article, a method for performing a mask design layout resolution enhancement includes determining a level of correction for the design layout for a predetermined parametric yield with a minimum total total correction cost.
Journal ArticleDOI

Statistical timing analysis using bounds and selective enumeration

TL;DR: This paper provides a formal definition of the statistical delay of a circuit and derive a statistical timing analysis method from this definition, and proposes a new method for computing statistical bounds which has linear run time complexity.