scispace - formally typeset
Open AccessProceedings ArticleDOI

Power conversion efficiency characterization and optimization for smartphones

Reads0
Chats0
TLDR
Experimental results demonstrate that the approach taken can achieve 6% to 15% power conversion efficiency enhancement, which translates to up to 30% reduction in the power losses incurred during power conversion in smartphones.
Abstract
Modern smartphones consume significant power and can hardly provide a full day's use between charging operations even with a 2000 mAh battery. This is in spite of many power management techniques being employed in the smartphones. This paper starts from the observation that modern smartphones waste a significant amount of the battery's stored energy during power conversion from the 3.7V output of a Li-Ion battery cell to different voltage levels needed to power various modules in a smartphone (processors, memory, display, GPS, etc.) Indeed the power conversion efficiency from the battery source to point of use in the smart phone has on average of only 60-75% efficiency. The approach taken to reduce this energy waste in smartphones is to (i) profile the power consumption of each module under different operating scenarios, (ii) build an equivalent DC-DC converter model for each smartphone module and estimate its power conversion efficiency, and (iii) change the parameters of the actual converters in the smartphone to improve the equivalent power conversion efficiencies for all modules. Experimental results demonstrate that we can achieve 6% to 15% power conversion efficiency enhancement, which translates to up to 30% reduction in the power losses incurred during power conversion in smartphones.

read more

Content maybe subject to copyright    Report

Power Conversion Efficiency Characterization and
Optimization for Smartphones
Woojoo Lee
Yanzhi Wang
, Donghwa Shin
, Naehyuck Chang
, and Massoud
Pedram
University of Southern California, CA, USA,
Seoul National University, Korea,
{woojoole, yanzhiwa, pedram}@usc.edu,
{dhshin, naehyuck}@elpl.snu.ac.kr
ABSTRACT
Modern smartphones consume significant power and can hardly
provide a full day’s use between charging operations even with
a 2000 mAh battery. This is in spite of many power manage-
ment techniques being employed in the smart phones. This pa-
per starts from the observation that modern smartphones waste a
significant amount of the battery’s stored energy during power con-
version from the 3.7V output of a Li-Ion battery cell to different
voltage levels needed to power various modules in a smartphone
(processors, memory, display, GPS, etc.) Indeed the power conver-
sion efficiency from the battery source to point of use in the smart
phone has on average of only 60-75% efficiency. The approach
taken to reduce this energy waste in smartphones is to (i) profile
the power consumption of each module under different operating
scenarios, (ii) build an equivalent DC-DC converter model for each
smartphone module and estimate its power conversion efficiency,
and (iii) change the parameters of the actual converters in the smart-
phone to improve the equivalent power conversion efficiencies for
all modules. Experimental results demonstrate that we can achieve
6% to 15% power conversion efficiency enhancement, which trans-
lates to up to 30% reduction in the power losses incurred during
power conversion in smartphones.
Categories and Subject Descriptors
C.5.3 [Computer system implementation]: Microcomputers
Keywords
Portable device, Smartphone, DC-DC converter, Power tree
1. INTRODUCTION
Modern smartphones are typically equipped with a multi-core gi-
gahertz processor, gigabytes of high-speed DDR SDRAM, dozens
of gigabytes of flash memory, several up to 10 megapixel cam-
eras, 1M+ pixel high-resolution color display, high-power audio,
as well as 3G/4G, Wi-Fi and Bluetooth wireless communication
devices. Consequently, their power consumption is as high as a
small-size notebook computer or a tablet. Although the smart-
phone battery capacity has been increased from several hundred
mAh to over 2000 mAh over the last few years, the actual battery
life (i.e., the service time between consecutive charges) has become
Permission to make digital or hard copies of all or part of this work for
personal or classroom use is granted without fee provided that copies are
not made or distributed for profit or commercial advantage and that copies
bear this notice and the full citation on the first page. To copy otherwise, to
republish, to post on servers or to redistribute to lists, requires prior specific
permission and/or a fee.
ISLPED’12, July 30–August 1, 2012, Redondo Beach, CA, USA.
Copyright 2012 ACM 978-1-4503-1249-3/12/07 ...$10.00.
80
70
60
Efficiency (%)
Time (s)
75
65
55
0 50 100 150 200 250
0 500 1000 1500 2000 2500
55
60
65
70
75
80
Mean: 67.93 (%)
0 500 1000 1500 2000 2500
55
60
65
70
75
80
Figure 1: Measured of traces of power conversion efficiency of
Qualcomm Snapdragon MDP MSM8660.
shorter due to even faster increase in the power consumption of the
smartphones. An examination of the technology trends reveals that
smartphone system-on-chips are migrating from dual cores to quad
cores, display sizes continue to increase with some high-end smart-
phones having over 5" display, wireless data communication rates
are increasing rapidly with a100MHz aggregated bandwidth, 4G
LTE-Advanced providing almost 3.3Gbit peak download rates per
sector of the base station, and so on. All this will result in signifi-
cantly higher power consumption in the smartphones and a smaller
battery life (active users of a recent 4G smartphone claim less than
2-3 hours of battery life.) High power consumption of smartphones
(and relatively slow rate of increase in the energy storage density of
batteries) is thus a serious concern that could derail the smartphone
technology development and adoption.
It is not surprising that system-level power optimization and man-
agement have been widely investigated and advanced over the last
two decades. Some recent works have explicitly focused on smart-
phones. In particular, references [1, 2, 3, 4] have presented various
power dissipation models for the smartphones. Dynamic voltage
(and frequency) scaling techniques have also been used to reduce
the power consumption of various smartphone modules, including
the CPU [5] and the display [6]. LCD backlight scaling has also
been widely investigated [7].
Power optimization and management works for smartphones have
targeted power savings in embedded processors, memory, display,
and so on. None has addressed the issue of power conversion effi-
ciency in the smartphones. This is an important problem that has
gone unnoticed. More precisely, modern smartphones are equipped
with many modules, each requiring its own supply voltage level
which is typically different from those of other modules in the sys-
tem. The smartphone is powered by a secondary (rechargeable) Li-
Ion battery comprised of a single battery cell providing an initial
output voltage level of 4.2V for a fully charged cell and as low as
3.0V for a nearly discharged battery (this yields the familiar nom-
inal average output voltage level of 3.6 or 3.7V at 0.5C discharge
rate.) This cell output voltage must be converted and regulated to
different pre-determined voltage levels and distributed to various
modules in the smartphone.
We have performed extensive measurement of power conversion
efficiency for Qualcomm’s smartphone platform, MDP MSM8660,
as shown in Figure 1. Surprisingly, the power conversion efficiency
of MDP MSM8660 ranges just from below 60% to slightly over
75%. Improving the power conversion efficiency can achieve sig-
nificantly longer battery life. This paper thus focuses on power con-

version efficiency in smartphones and introduces power conversion
efficiency characterization and optimization procedures.
Modern DC-DC converters exhibit very high peak conversion ef-
ficiency, but their conversion efficiency can drop dramatically due
to the operating conditions, i.e., their output current level [8]. In
other words, a low overall conversion efficiency of DC-DC con-
verters is mainly due to mismatch between the power converter
characteristics and the load demands.
In this paper we propose a general equivalent DC-DC converter
model to model different types of converters (such as a buck DC-
DC converter, a boost DC-DC converter, low-dropout (LDO) con-
verter) and their series combinations in a power delivery path from
the battery cell to the load device. In particular, we use the DC-DC
converter model from [8] and identify coefficients of the equivalent
power converter models with the aid of the application profiling
tool named Trepn™.
We propose device grouping to enhance the accuracy of linear
regression used for power efficiency characterization of the equiv-
alent DC-DC converter. We then verify the accuracy of the power
conversion efficiency characterization with real measurements. The
results point to the fact that power conversion efficiency of the
smartphone platform is quite low. Next, we model and verify the
current demand distribution for each module in the smartphone
platform and derive its expected value. Finally, we adjust the DC-
DC converter parameters to ensure that the power converters oper-
ate at the most efficient points. Experimental results demonstrate
that we can achieve 6% to 15% power conversion efficiency en-
hancement, which translates to up to 30% reduction in the power
losses incurred during power conversion in smartphones.
Notice that the proposed flow can be exploited to do optimization
in presently available commercial smartphone platforms, which do
not have current sensors to report the component current demands
under different applications and usage scenarios. This is possi-
ble because we make use of activity profiling-based power estima-
tion [1, 2, 3, 4].
2. POWER CONVERSION LOSS MODELS
Given that the smartphones integrate hardware modules that have
their own supply power lines and require their own voltages, it is
necessary to generate different voltages for various modules from
a single battery that powers the smartphone. A power conversion
tree, with the root of the tree being the battery cell, the leaf nodes
being the modules, and the internal nodes being DC-DC converters,
is designed to achieve this goal. A conceptual example of the power
conversion tree for a smartphone is depicted in Figure 2.
Typical DC-DC converters in modern smartphones can be clas-
sified into two types, switching-mode DC-DC converters (simply
called DC-DC converter) and low-dropout linear regulators (LDO),
according to the circuit implementation and operation principles. A
DC-DC converter consists of an inductor, capacitors, two MOSFET
switches and a pulse-width-modulation (PWM) controller. This
type of converter can step-up the output voltage so that it becomes
higher than the input voltage (i.e., boost), or step-down the output
voltage so that it is lower than the input voltage (i.e., buck). On the
other hand, the output voltage of an LDO can only be lower than
its input voltage. In general, the LDO has lower power conversion
efficiency. Nevertheless, the LDO is an indispensable component
in smartphones in that it can provide low-noise output voltage, and
therefore, the LDO is the most suitable type of converter to provide
power for some noise-sensitive RF or analog modules.
2.1 DC-DC converter power loss model
The power loss model of a PWM DC-DC converter is well-
studied in [8]. In general, the major sources of power loss in a
DC-DC converter are conduction loss, switching loss in the power
switches, and controller power loss, denoted by P
conduction
, P
switching
,
and P
controller
, respectively. The power loss of the buck converter,
AaBb
Battery
DC-DC Buck
converter
DC-DC Buck
converter
DC-DC boost
converter
LDO
LDO
CPU core
0.8-1.8 V
DRAM VDD
1.2 V
Audio codec IO
1.8 V
Display Backlight
3.9 V
DC-DC converters
Battery
Figure 2: Conceptual diagram of a smartphone power conver-
sion tree.
P
buck
, can be expressed as:
P
buck
=P
conduction
+ P
switching
+ P
controller
(1)
=I
out
2
(R
L
+ DR
sw1
+ (1 D)R
sw2
) (2)
+ (I)
2
(R
L
+ DR
sw1
+ (1 D)R
sw2
+ R
C
)/12
+V
in
f
s
(Q
sw1
+ Q
sw2
) +V
in
I
controller
,
where D = V
out
/V
in
is the PWM duty ratio of the power switch, and
V
in
and V
out
denote the input and output voltages, respectively; I
out
is the output current through the inductor; I = (1 D)V
out
/(L
f
f
s
)
is the amplitude of the maximum current ripple at the inductor; f
s
is
the switching frequency; and I
controller
denotes the current used in
the control logic section of the converter. Series resistances of the
inductor L and capacitor C are denoted by R
L
and R
C
, respectively.
Similarly, series resistances of the two MOSFET switches are rep-
resented by R
sw1
and R
sw2
, respectively, while the amounts of their
gate charge are denoted by Q
sw1
and Q
sw2
, respectively. The first
and second terms of (2) are DC and AC conduction losses, respec-
tively; third term of (2) denotes the switching loss; while the last
term of (2) corresponds to the controller power loss.
The power loss of the boost converter, P
boost
, is modeled simi-
larly except for the switching duty calculation, which is given by:
P
boost
=
I
out
1 D
2
(R
L
+ DR
sw1
+ (1 D)R
sw2
+ D(1 D)R
C
)
+
(I)
2
12
(R
L
+ DR
sw1
+ (1 D)R
sw2
+ (1 D)R
C
)
+V
in
f
s
(Q
sw1
+ Q
sw2
) +V
in
I
controller
, (3)
where D = 1 V
in
/V
out
and I = (V
in
D)/(L
f
f
s
).
As a result, the efficiency of a DC-DC converter, η
switching
, can
be calculated as:
η
switching
=
V
out
I
out
V
in
I
in
=
V
in
I
in
P
converter
V
in
I
in
, (4)
where I
in
is the input current, and P
converter
is either P
buck
or P
boost
depending on the type of DC-DC converter used.
2.2 LDO power loss model
A typical LDO consists of an error amplifier, a pass transistor,
and a feedback resistor network. The power loss of the LDO, de-
noted by P
ldo
, is given by:
P
ldo
= I
out
(V
in
V
ref
k) + I
q
V
in
, (5)
where V
ref
is the reference voltage in the error amplifier; k = (R
1
+
R
2
)/R
2
corresponds to the voltage divider’s gain coefficient, and
I
q
denotes the quiescent current of the LDO. Unlike the switching
converter in which the MOSFET switches dominate the total power
loss, the pass transistor in the LDO has negligible impact on its total
power loss [8]. Therefore, the power loss due to internal resistance
of the pass transistor is not accounted for in the model. Thus the
conversion efficiency of the LDO, η
ldo
, may be expressed as:
η
ldo
=
V
out
I
out
V
in
I
in
=
k V
ref
I
out
V
in
(I
out
+ I
q
)
(6)

Subset of
modules
Battery
Input voltage
Output voltage
Output current
Subset of
modules
.
.
.
.
.
DC-DC
converter
Type I equivalent converter model
.
.
.
DC-DC
converter
LDO
Type II equivalent converter model
.
.
.
Input current
Figure 3: Types I and II equivalent power converter models.
3. CHARACTERIZATION OF THE POWER
CONVERSION EFFICIENCY
Power converters including switching-mode DC-DC converters
exhibit different conversion efficiency as a function of the load cur-
rent [8]. A module is often powered through a set of converters
from a battery source as shown in Figure 3. The power converter
set can be an empty set (direct connection), single DC-DC con-
verter, (more commonly) a cascade (series) connection of a DC-
DC converter and an LDO, (rarely) a cascade connection of vari-
ous DC-DC converters, etc. Characterization of each power con-
verter efficiency is not a trivial work unless the power conversion
tree structure and converter specifications, and all the node voltages
and branch currents of the conversion tree are available. Such a
white-box approach is generally not possible for commercial smart-
phones. Although the Qualcomm MDP provides measurement of
the device current values, the power conversion tree structure is not
available for examination/measurements.
In this paper, we attempt a gray-box approach introducing an eq-
uivalent power converter concept. The equivalent converter models
a set of power converters from the battery source to each module.
In other words, the proposed equivalent power converter abstrac-
tion treats the set of power converters as a single equivalent con-
verter. The abstraction enables a gray-box approach by which one
can group modules in a (smartphone) system by their required sup-
ply voltage levels, which can be obtained from datasheets. Power
conversion efficiency improvement in the subsequent optimization
procedure can be effectively performed once we identify the power
conversion efficiency of all the power conversion paths from the
battery source to various modules in the system.
3.1 Equivalent converter model
We classify the equivalent converter models either a single DC-
DC converter, or a cascaded DC-DC converter and an LDO, named
Type I and Type II equivalent converters, respectively. We assume
that the battery output current goes through a voltage regulator in
order to produce a constant voltage throughout its full discharge cy-
cle. Without loss of generality, Types I and II equivalent converter
models can represent most power conversion tree structures [9, 10,
11]. Most digital logic components can be powered by a single
DC-DC converter from the battery to the module - this gives rise
to Type I converter model. A cascade of two or more DC-DC con-
verters are rare, because increasing the number of cascade DC-DC
converters increases the cost and form factor overhead with little
(or no) benefit in terms of conversion efficiency. LDOs are often
an indispensable component to provide low-ripple output voltage
for switching noise-sensitive RF and analog modules. It is uncom-
mon to use a single LDO from the battery to a device due to large
dropout voltage. Instead, the conversion of the battery voltage to
an initially higher target voltage using a DC-DC converter and sub-
sequent use of LDO for the final power conditioning is a more effi-
cient way of doing this - hence, our emphasis on Type II converter
model.
According to (2), (3), and (5), the power loss of the equivalent
converter can be expressed as:
P
eqv
= A(α I
q
+
N
X
i=1
I
mod,i
)
2
+ αβ
N
X
i=1
I
mod,i
+ (B+ αγ I
q
), (7)
where N is the number of modules connected to the equivalent con-
verter; I
mod,i
is the input current of the i
th
module; A is determined
Table 1: Grouping results for Snapdragon MDP MSM8660.
Group Modules Voltage
1 and 2 Group1: CPU core0 and Group2: CPU core1 0.8-1.225 V
3 Internal Memory, Audio DSP, and 1.1 V
Digital core (includes GPU and modems)
4 Audio codec Vdd, LPDDR2 Vdd, ISM Vdd, 1.2 V
DRAM Vdd2, and Camera digital
5 Audio codec IO , IO PAD3, 1.8 V
Display IO, DRAM Vdd1,
Camera IO, PLL, and eMMC host interface
6 Audio codec analog, Haptic, SD card, 2.85 V
Touch screen, eMMC (Flash), IO PAD2,
SD card, and Ambient light sensor
7 Display Memory and Display backlight 3.8 V
by the type of the DC-DC converter such that A = R
L
+ DR
sw1
+
(1 D)R
sw2
for buck converter and A = (1/(1 D))
2
(R
L
+DR
sw1
+
(1 D)R
sw2
+ D(1 D)R
C
) for boost converter; B is the sum of
the second, third, and last terms of (2) or (3); α = 0 for Type I,
and α = 1 for Type II; γ is the input voltages of the LDO; and
β = (γ V
ref
k). We can further simplify (7) by defining the output
current of the equivalent converter, I
eqv_out
=
P
N
i=1
I
mod,i
, and thus,
the power loss for both types of equivalent converter models can be
expressed as:
P
eqv
= aI
eqv_out
2
+ bI
eqv_out
+ c, (8)
where the coefficients a, b, and c are derived from (7), and are
largely dependent on the power converter design specification such
as the power MOSFET gate width, inductor IR loss, controller loss,
etc. [8]. Calculating those coefficients is the key step in of the
power conversion efficiency characterization.
3.2 Power converter grouping and regression
analysis
Measurement (or estimation) of the output current of all the equiv-
alent power converters enables us to calculate the unknown coeffi-
cients of the equivalent power converter model. Once again, the
input and output voltage levels of each equivalent power convert-
ers can be obtained from the device datasheets. The Qualcomm
Snapdragon MDP MSM8660 [12] incorporates embedded power
sensors that monitor and report current values of different modules
with fine granularity. When the target smartphone does not provide
embedded current sensors, we can estimate the module current val-
ues by activity profiling [1, 2, 3, 4].
Profiling various applications, which result in diverse usage pat-
terns of the system modules, provides sufficient information and
data to perform regression analysis and obtain the unknown coeffi-
cients. Linear regression analysis is a widely used method in sys-
tem identification, requiring (i) a well-designed model and (ii) suf-
ficient experimental data to extract the best-fit model coefficients.
In reality, however, independent control of each module is a chal-
lenging task due to the lack of direct control knobs. For example,
if we run an application that activates a camera module, the CPU,
GPU, memory, and other associated component currents also ramp
up and down. We must thus apply linear regression analysis to the
whole system (including all smartphone modules) simultaneously,
while trying to vary the activity level of each module by running
different applications. However, this method may not produce suf-
ficient data to cover the full range of activities for all smartphone
modules, especially when the number of modules is large (e.g., the
Snapdragon MDP MSM8660 has 27 embedded modules.) This is
a potential source of inaccuracy for regression analysis due to the
weak training set issue.
We tackle the problem by doing a module grouping in order to re-
duce the number of unknown coefficients that must be determined
during the characterization process. This grouping procedure re-
duces the burden in terms of generating sufficient data for perform-
ing the linear regression analysis. The idea is that system modules
that require the same operating voltage level can be combined into
one group, and each group of modules is connected to the battery
source via a single equivalent converter, as illustrated in Figure 3.

This method matches well with low power design practices that try
to minimize the number of power converters, due to their cost and
internal power losses.
Given that the number of different voltage levels required by var-
ious modules in a smartphone platform is typically less than 10 [9,
11], the grouping method significantly reduces the number of pa-
rameters to be determined in linear regression. For example, the
Snapdragon MDP MSM8660 requires only seven groups although
the module count is 27.
Finally, the total power loss of the smartphone, P
loss
, is given by,
P
loss
=
G
X
k=1
P
eqv,k
=
G
X
k=1
(a
k
I
eqv_out,k
2
+ b
k
I
eqv_out,k
+ c
k
), (9)
where G is the number of groups; P
eqv,k
is the power loss of the
k
th
equivalent converter corresponding to the k
th
group of mod-
ules; I
eqv_out,k
denote the output current of the equivalent converter,
which can be measured using embedded sensors in the Snapdragon
MDP MSM8660; a
k
, b
k
, and c
k
are the coefficients of the equiv-
alent converter model (to be determined by linear regression.) We
treat the battery voltage presented to the power conversion tree as
being (nearly) constant, which is valid considering the function of
the regulator between the battery cell/pack and the equivalent con-
verter, therefore, we may assume that a
k
, b
k
, and c
k
are constant
values.
3.3 Experimental results
3.3.1 Experimental setup
We use the Snapdragon MDP MSM8660 (in short, MDP) as a
target platform. It is a cutting-edge smartphone platform equipped
with Google Android OS 2.3 on top of Snapdragon 1.5 GHz asyn-
chronous dual-core CPU, a 3D-supporting GPU, 3.61
00
WVGA mu-
lti-touch screen, 1 GB internal RAM, 16 GB on-board flash, WiFi,
Bluetooth, a GPS, dual-side cameras, etc. We perform power mea-
surement of each module using the application profiling tool named
Trepn™. Use of Trepn™ ensures higher accuracy of the measure-
ments. Note, however, that our proposed method is independent
of the measurement tools, e.g., we may use activity profiling for
power measurement provided by Google or based on techniques
presented in the literature [1, 2, 3, 4].
3.3.2 Coefficient identification
As shown in Table 1, the MDP modules may be classified into
seven groups based on their operating voltage levels. Some mod-
ules such as the CPU cores in the MDP use dynamic voltage and
frequency scaling (DVFS) techniques that require a range of vari-
able supply voltage levels. Consequently, we keep each CPU core
in a separate group but treat the equivalent converters of these groups
identical to each other. Group 7 is associated with display, and
therefore, the backlight luminance level mostly determines the cur-
rent demand in this group. Group 7 coefficients are easy to identify
because we can independently control the brightness of the display.
In other words, we first perform the linear regression to identify co-
efficients of the equivalent converter model of Group 7, separately
from the other groups.
For the remaining six groups, we profile various applications and
collect sufficient data for the regression analysis as explained ear-
lier. It is difficult to identify every c
k
coefficient of the k
th
equiva-
lent converters directly from the linear regression process. Rather,
we only extract c
ext
that corresponds to the sum of all the constant
terms in (9), i.e., c
ext
=
P
G
k=1
c
k
. We find an approximate value
for each c
k
as c
k
= c
ext
(P
group,k
/P
group,total
), where P
group,k
de-
notes the power consumption of Group k, and P
group,total
is the total
power consumption of all the groups. The P
group,k
and P
group,total
values are available from the embedded sensors in the MDP.
The extracted coefficients of the seven equivalent converters are
reported in Table 2. The power conversion efficiency of Group k,
derived from (P
group,k
/(P
group,k
+ P
eqv,k
)), is shown in Figure 4.
We verify the characterization results of each equivalent power
Table 2: Extracted coefficients for each group.
k a
k
b
k
c
k
k a
k
b
k
c
k
1, 2 0.4427 0.0025 0.0170 5 0.1971 0.5232 0.0128
3 0.4079 0.1742 0.0675 6 0.1814 0.2928 0.0320
4 0.1152 0.1757 0.0077 7 0.4091 0.3871 0.0289
Measured current distribution,Measured efficiency,
Model,
group1
group2
Mean
(a) Groups 1 and 2 (b) Group 3
100
80
60
40
20
0
100
80
60
40
20
0
Efficiency (%)
Efficiency (%)
Distribution
.06
.04
.02
0
0 50 100
150
200 250
Current (mA)
(c) Group 4
(d) Group 5
0
50
100
150 200 250
Current (mA)
300
Distribution
.06
.04
.02
0
.08
02040
60
80 100
Current (mA)
Distribution
.06
.04
.02
0
.08
010 20304050
Current (mA)
60
(e) Group 6 (f) Group 7
Distribution
.01
0
.02
Distribution
.06
.04
.02
0
.08
010 2030 4050
Current (mA)
60
0204060
80
100
Current (mA)
Distribution
.01
0
.02
100
80
60
40
20
0
Efficiency (%)
100
80
60
40
20
0
Efficiency (%)
100
80
60
40
20
0
Efficiency (%)
100
80
60
40
20
0
Efficiency (%)
Figure 4: Conversion efficiencies for all groups.
converters. Figure 5 shows the comparison of the system power
consumption trace between the real measurement as reported by a
built-in battery sensor and the estimation as obtained by our ex-
tracted equivalent converter coefficients. We have thus confirmed
that the results of the power conversion efficiency characterization
process is accurate enough for the subsequent optimization process.
4. POWER CONVERTER TUNING
Power converter tuning reduces power loss without any perfor-
mance degradation. This is because, unlike typical low-power de-
sign techniques that often exploit a tradeoff between performanc-
e/service quality and power efficiency, the power converter tuning
does not utilize slack time of the system.
Enhancement of the overall efficiency of a DC-DC converter can
greatly increase the overall system power efficiency [13, 9]. DC-
DC converters show very high overall efficiency under the right op-
erating conditions. However, their efficiency can be low if they are
operated outside the recommended range on input and output volt-
ages and load currents [8]. Therefore, ensuring that each DC-DC
converter in the system is operating under the right operating condi-
tions is an effective way of improving the system power efficiency.
For example, Reference [10] presents a dynamic programing ap-
Time (s)
Power (W)
1.6
1.2
1.0
0.8
0 1000 2000 3000 4000 5000 6000 7000 8000 9000
0.8
1
1.2
1.4
1.6
Time (msec)
Power (W)
Total power consumption
data1
data2
data3
data4
Measured
Model
0 1000 2000 3000 4000 5000 6000 7000 8000 9000
0.8
1
1.2
1.4
1.6
Time (msec)
Power (W)
Total power consumption
data1
data2
data3
data4
1.4
0.6
400 500 600 0 100 200 700 800 900 300
Figure 5: A part of traces of total power consumption: mea-
sured data and modeled data.

Current
Efficiency
Distribution
Tuning Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning
Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning
Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning
Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning
Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning
Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed.
(b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed.
(b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning
Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning
Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed.
(b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed.
(b) W parameter is under designed.
Current
Efficiency
Distribution
Tuning
Tuning
Current
Efficiency
Distribution
(a) W parameter is over designed. (b) W parameter is under designed.
(a) is over designed. (b) is under designed.
W
W
Figure 6: Concept of the width tuning.
proach to design the structure of the power conversion tree in a
system while at the same time selecting the ‘optimal’ DC-DC con-
verter or LDO for each node of the conversion tree. Reference [14]
proposes the concept of parallel connections of high frequency DC-
DC converters for distributed energy storage systems. In contrast,
the present paper starts with a fixed conversion tree structure, but
uses load currents demands and converter characteristics to perform
MOSFET switch sizing so as to improve the overall efficiency of
the power conversion process in a smartphone system.
As stated above, we focus on the optimal assignment of the gate
width, W, of the MOSFET switches in a DC-DC converter. The
pass transistor in the LDO has negligible impact on its power loss,
therefore, we do not take it into account. (c.f. Section 2.2.) As
we previously depicted in Figure 4, DC-DC converters in the MDP
are not properly tuned in regard to the actual Android applications
running on it. The width tuning, which was introduced in [8], is
conceptually explained in Figure 6. The idea is to match the value
of W in the equivalent converter such that the desirable operating
conditions of the equivalent converter match with the current dis-
tribution produced by the actual usage profiles of various Android
applications in order to yield the maximum conversion efficiency
for the typical daily use of a smartphone.
Sizing down W causes the turn-on resistance of the MOSFET in-
creased and the gate charge decreased. (i.e., W = (W
o
R
o
)/R
sw1,2
=
(W
o
Q
sw1,2
)/Q
o
; R
o
and Q
o
correspond to the turn-on resistance
and the gate charge of a MOSFET with a gate size of W
o
, respec-
tively.) As a function of W, we can express the DC-DC converter
power loss models, (2) and (3), as:
P
converter
=
r
1
W
+ r
2
I
out
2
+ r
3
W + r
4
, (10)
where I
out
denotes the output current of the DC-DC converter; r
1
,
r
2
, r
3
, and r
4
are constants.
Given that the two MOSFET switches in a DC-DC converter
dominate the power loss of the equivalent converter, and I
q
is small,
we rewrite (8) as:
P
eqv,k
=
r
1,k
W
k
+ r
2,k
I
eqv_out,k
2
+bI
eqv_out,k
+r
3,k
W
k
+r
4,k
, (11)
where P
eqv,k
and I
eqv_out,k
are the power loss and the output cur-
rent of the k
th
equivalent converter, respectively, corresponding to
the k
th
group of modules; W
k
, r
1,k
, r
2,k
, r
3,k
and r
4,k
are the coeffi-
cients of the equivalent converter model that have been determined
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
0 50 100 150
80
Efficiency (%)
Current (mA)
70
60
50
40
30
20
10
0
90
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
65
60
55
50
45
40
35
30
Powerloss (mW)
0 opt 0.5 1 1.5
W'
(a) Efficiency : Group 7 (b) Power loss : Group 7
.
. .
Wdef
Wdef
Wdef
Wdef
0 50 100 150
80
Efficiency (%)
Current (mA)
60
40
20
0
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
65
60
55
50
45
40
35
30
Powerloss (mW)
0 opt 0.5 1 1.5
(a) Efficiency : Group 7 (b) Power loss : Group 7
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
0 50 100 150
80
Efficiency (%)
Current (mA)
70
60
50
40
30
20
10
0
90
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
65
60
55
50
45
40
35
30
Powerloss (mW)
0 opt 0.5 1 1.5
W'
(a) Efficiency : Group 7 (b) Power loss : Group 7
.
. .
Wdef
Wdef
Wdef
Wdef
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
0 50 100 150
80
Efficiency (%)
Current (mA)
70
60
50
40
30
20
10
0
90
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
65
60
55
50
45
40
35
30
Powerloss (mW)
0 opt 0.5 1 1.5
W'
(a) Efficiency : Group 7 (b) Power loss : Group 7
.
. .
Wdef
Wdef
Wdef
Wdef
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
0 50 100 150
80
Efficiency (%)
Current (mA)
70
60
50
40
30
20
10
0
90
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
65
60
55
50
45
40
35
30
Powerloss (mW)
0 opt 0.5 1 1.5
W'
(a) Efficiency : Group 7 (b) Power loss : Group 7
.
. .
Wdef
Wdef
Wdef
Wdef
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
0 50 100 150
80
Efficiency (%)
Current (mA)
70
60
50
40
30
20
10
0
90
0 50 100 150
0
10
20
30
40
50
60
70
80
90
Current (mA)
Efficiency (%)
Group6 Efficiency
Mesured data
W=original
W=0.1
W=0.5
W=1.5
0 0.5 1 1.5
30
35
40
45
50
55
60
65
Group6 W Efficiency
W
Powerloss (W)
65
60
55
50
45
40
35
30
Powerloss (mW)
0 opt 0.5 1 1.5
W'
(a) Efficiency : Group 7 (b) Power loss : Group 7
.
. .
Wdef
Wdef
Wdef
Wdef
Table 4: Example: DC-DC converter tuning results of four types of applications.
Clock Call
Group W
opt
Gain
η
Gain
P
Gain
η,max
Gain
P,max
W
opt
Gain
η
Gain
P
Gain
η,max
Gain
P,max
Group1 0.2356 11.3508 34.5181 27.2733 43.1362 0.2852 8.7073 30.6121 23.7710 40.8894
Group2 0.1369 21.1175 42.8718 31.2166 47.0092 0.1991 12.9707 37.3828 27.1296 44.5621
Group3 0.3751 6.7329 15.7217 13.5717 24.4609 0.3751 6.6543 15.5894 13.5717 24.4609
Group4 0.1180 15.2996 34.9625 19.4536 38.4971 0.1704 10.2327 28.7502 12.4670 31.7882
Group5 0.1123 15.5010 30.7496 17.9247 33.0915 0.1263 13.0017 27.9058 15.4971 30.7201
Group6 0.1128 14.6603 34.4909 15.9501 35.8211 0.1269 14.0235 33.7792 16.0955 35.9118
Group7 0.0884 6.9630 23.5545 8.1285 25.3117
Overall 9.9381 24.8974 15.0994 30.8775 9.3516 23.5594 16.2542 30.9136
Web browsing (Facebook) Videochat (Skype)
Group1 0.4216 4.4201 20.7700 11.9557 32.7724 0.4216 4.3408 20.544 12.8354 33.2795
Group2 0.2862 8.4227 30.8524 14.4969 38.0183 0.4230 4.1481 20.9525 13.4634 33.9652
Group3 0.3862 6.0869 14.5694 13.3955 24.1807 0.3751 6.6947 15.6603 13.5717 24.4609
Group4 0.2097 8.5744 25.9577 14.0064 33.1636 0.5375 1.6203 7.4004 2.5731 10.9563
Group5 0.1263 12.514 27.2880 15.7297 30.9577 0.1824 8.4489 21.3063 9.7984 23.4784
Group6 0.1128 14.3447 34.1397 15.9733 35.8437 0.2256 6.0727 24.9642 6.4484 25.8884
Group7 0.2210 1.9788 10.5936 2.0172 10.7499 0.1179 4.9756 19.7093 6.4110 22.5335
Overall 5.7545 19.0159 8.8194 25.0937 5.3158 17.9998 9.0870 24.6192
Table 5: Example: DC-DC converter tuning results of six types
of applications.
Application Gain
η
Gain
P
Gain
η,max
Gain
P,max
Setting 10.5807 25.6927 18.4262 32.4668
Camera 5.8590 19.0445 7.6900 23.1567
Game (Neocore) 6.2805 20.5779 8.4631 25.0661
Map (GoogleMap) 5.6135 18.7018 8.9731 25.1223
SMS 6.4957 20.4575 9.8490 26.5044
Media (Youtube) 6.6285 20.6710 9.4164 25.9968
Table 6: Example: DC-DC converter tuning results of two types
of the smartphone usage patterns.
Usage pattern Gain
η
Gain
P
Gain
η,max
Gain
P,max
Type I 6.2176 20.0263 13.6376 29.4715
Type II 6.2955 20.0705 15.2870 30.0543
the display is the highest. Clock is measured under the median
level of the backlight, WiFi on, and Setting is measured under the
lowest backlight and WiFi off. For the case of Call, we consider
auto turn-off screen during the call.
We apply the 10 types of applications in Table 4 and Table 5
to two representative types of smartphone usage patterns studied
in [15]. The resulted distribution of the output currents from the
first type of the usage patterns is shown in Figure 4. Table 6 shows
the optimization results for both types of usage patterns.
5. CONCLUSIONS
This paper shows that significant power loss incurs during power
conversion from the battery to devices in modern smartphones.
This is a downside of active semiconductor technology scaling that
makes different technology devices require different supply volt-
age levels. However, such a trend should not be discouraged be-
cause of the advantages from technology scaling. Instead, this pa-
per first introduces systematic system-level power conversion ef-
ficiency enhancement for smartphones. First, we propose equiv-
alent power converter concept that abstract a complicated power
converter tree from the battery to a device into a single equivalent
power converter. This again enables us to identify the model co-
efficients from application profiling. The proposed identification
can be applied to commercial smartphones that do not have current
sensors. We demonstrated the accuracy of power conversion effi-
ciency identification and how the current power converter setup is
offset from the optimal operating conditions. The proposed power
converter tuning showed 5% to 18% overall power conversion effi-
ciency enhancement, which restores up to 32% power loss during
power conversion.
W = 0.1W
def
W = W
def
W = 1.5W
def
6. REFERENCES
[1] A. Shye, B. Scholbrock, and G. Memik, “Into the wild: Studying real
user activity patterns to guide power optimizations for mobile
architectures, MICRO, 2009.
[2] L. Zhang, B. Tiwana, Z. Qian, Z. Wang, R. P. Dick, Z. M. Mao, and
L. Yang, Accurate online power estimation and automatic battery
behavior based power model generation for smartphones,
CODES/ISSS, 2010.
[3] M. Dong and L. Zhong, “Self-constructive high-rate system energy
modeling for battery-powered mobile systems, MobiSys, 2011.
[4] A. Pathak, Y. C. Hu, M. Zhang, P. Bahl, and Y. Wang, “Fine-grained
power modeling for smartphones using system call tracing, EuroSys,
2011.
[5] W. Yuan and K. Nahrstedt, “Energy-efficient soft real-time CPU
scheduling for mobile multimedia systems, SOSP, 2003.
[6] D. Shin, Y. Kim, N. Chang, and M. Pedram, “Dynamic voltage
scaling of oled displays, DAC, 2011.
[7] C. Inseok, S. Hojun, and C. Naehyuck, “Low-power color TFT LCD
display for hand-held embedded systems, ISLPED, 2002.
[8] Y. Choi, N. Chang, and T. Kim, “DC-DC converter-aware power
management for low-power embedded systems, IEEE T. on
Computer-Aided Design of Integrated Circuits and Systems, 2007.
[9] C. Shi, B. C. Walker, E. Zeisel, E. B. Hu, and G. H. McAllister, A
highly integrated power management IC for advanced mobile
applications, CICC, 2006.
[10] B. Amelifard and M. Pedram, “Optimal design of the power-delivery
network for multiple voltage-island system-on-chips, IEEE T. on
Computer-Aided Design of Integrated Circuits and Systems, 2009.
[11] TexasInstruments, “Handset:smartphone, Available at:
http://www.ti.com/solution/handset_smartphone.
[12] Qualcomm, “Snapdragonł mdp msm8660 datasheet, Available at:
https://developer.qualcomm.com/develop/development-
devices/snapdragon-mdp-msm8660.
[13] G. A. Rincon-Mora and P. E. Allen, A low-voltage, low quiescent
current, low drop-out regulator, IEEE J. of Solid-State Circuits,
1998.
[14] J. Xiao, A. Peterchev, J. Zhang, and S. Sanders, An ultra-low-power
digitally-controlled buck converter IC for cellular phone
applications, APEC, 2004.
[15] F. Hossein, M. Ratul, K. Srikanth, L. Dimitrios, G. Ramesh, and
E. Deborah, “Diversity in smartphone usage, MobiSys, 2010.
Table 4: Example: DC-DC converter tuning results of four types of applications.
Clock Call
Group W
opt
Gain
η
Gain
P
Gain
η,max
Gain
P,max
W
opt
Gain
η
Gain
P
Gain
η,max
Gain
P,max
Group1 0.2356 11.3508 34.5181 27.2733 43.1362 0.2852 8.7073 30.6121 23.7710 40.8894
Group2 0.1369 21.1175 42.8718 31.2166 47.0092 0.1991 12.9707 37.3828 27.1296 44.5621
Group3 0.3751 6.7329 15.7217 13.5717 24.4609 0.3751 6.6543 15.5894 13.5717 24.4609
Group4 0.1180 15.2996 34.9625 19.4536 38.4971 0.1704 10.2327 28.7502 12.4670 31.7882
Group5 0.1123 15.5010 30.7496 17.9247 33.0915 0.1263 13.0017 27.9058 15.4971 30.7201
Group6 0.1128 14.6603 34.4909 15.9501 35.8211 0.1269 14.0235 33.7792 16.0955 35.9118
Group7 0.0884 6.9630 23.5545 8.1285 25.3117
Overall 9.9381 24.8974 15.0994 30.8775 9.3516 23.5594 16.2542 30.9136
Web browsing (Facebook) Videochat (Skype)
Group1 0.4216 4.4201 20.7700 11.9557 32.7724 0.4216 4.3408 20.544 12.8354 33.2795
Group2 0.2862 8.4227 30.8524 14.4969 38.0183 0.4230 4.1481 20.9525 13.4634 33.9652
Group3 0.3862 6.0869 14.5694 13.3955 24.1807 0.3751 6.6947 15.6603 13.5717 24.4609
Group4 0.2097 8.5744 25.9577 14.0064 33.1636 0.5375 1.6203 7.4004 2.5731 10.9563
Group5 0.1263 12.514 27.2880 15.7297 30.9577 0.1824 8.4489 21.3063 9.7984 23.4784
Group6 0.1128 14.3447 34.1397 15.9733 35.8437 0.2256 6.0727 24.9642 6.4484 25.8884
Group7 0.2210 1.9788 10.5936 2.0172 10.7499 0.1179 4.9756 19.7093 6.4110 22.5335
Overall 5.7545 19.0159 8.8194 25.0937 5.3158 17.9998 9.0870 24.6192
Table 5: Example: DC-DC converter tuning results of six types
of applications.
Application Gain
η
Gain
P
Gain
η,max
Gain
P,max
Setting 10.5807 25.6927 18.4262 32.4668
Camera 5.8590 19.0445 7.6900 23.1567
Game (Neocore) 6.2805 20.5779 8.4631 25.0661
Map (GoogleMap) 5.6135 18.7018 8.9731 25.1223
SMS 6.4957 20.4575 9.8490 26.5044
Media (Youtube) 6.6285 20.6710 9.4164 25.9968
Table 6: Example: DC-DC converter tuning results of two types
of the smartphone usage patterns.
Usage pattern Gain
η
Gain
P
Gain
η,max
Gain
P,max
Type I 6.2176 20.0263 13.6376 29.4715
Type II 6.2955 20.0705 15.2870 30.0543
the display is the highest. Clock is measured under the median
level of the backlight, WiFi on, and Setting is measured under the
lowest backlight and WiFi off. For the case of Call, we consider
auto turn-off screen during the call.
We apply the 10 types of applications in Table 4 and Table 5
to two representative types of smartphone usage patterns studied
in [15]. The resulted distribution of the output currents from the
first type of the usage patterns is shown in Figure 4. Table 6 shows
the optimization results for both types of usage patterns.
5. CONCLUSIONS
This paper shows that significant power loss incurs during power
conversion from the battery to devices in modern smartphones.
This is a downside of active semiconductor technology scaling that
makes different technology devices require different supply volt-
age levels. However, such a trend should not be discouraged be-
cause of the advantages from technology scaling. Instead, this pa-
per first introduces systematic system-level power conversion ef-
ficiency enhancement for smartphones. First, we propose equiv-
alent power converter concept that abstract a complicated power
converter tree from the battery to a device into a single equivalent
power converter. This again enables us to identify the model co-
efficients from application profiling. The proposed identification
can be applied to commercial smartphones that do not have current
sensors. We demonstrated the accuracy of power conversion effi-
ciency identification and how the current power converter setup is
offset from the optimal operating conditions. The proposed power
converter tuning showed 5% to 18% overall power conversion effi-
ciency enhancement, which restores up to 32% power loss during
power conversion.
W = 0.1W
def
W = W
def
W = 1.5W
def
6. REFERENCES
[1] A. Shye, B. Scholbrock, and G. Memik, “Into the wild: Studying real
user activity patterns to guide power optimizations for mobile
architectures, MICRO, 2009.
[2] L. Zhang, B. Tiwana, Z. Qian, Z. Wang, R. P. Dick, Z. M. Mao, and
L. Yang, Accurate online power estimation and automatic battery
behavior based power model generation for smartphones,
CODES/ISSS, 2010.
[3] M. Dong and L. Zhong, “Self-constructive high-rate system energy
modeling for battery-powered mobile systems, MobiSys, 2011.
[4] A. Pathak, Y. C. Hu, M. Zhang, P. Bahl, and Y. Wang, “Fine-grained
power modeling for smartphones using system call tracing, EuroSys,
2011.
[5] W. Yuan and K. Nahrstedt, “Energy-efficient soft real-time CPU
scheduling for mobile multimedia systems, SOSP, 2003.
[6] D. Shin, Y. Kim, N. Chang, and M. Pedram, “Dynamic voltage
scaling of oled displays, DAC, 2011.
[7] C. Inseok, S. Hojun, and C. Naehyuck, “Low-power color TFT LCD
display for hand-held embedded systems, ISLPED, 2002.
[8] Y. Choi, N. Chang, and T. Kim, “DC-DC converter-aware power
management for low-power embedded systems, IEEE T. on
Computer-Aided Design of Integrated Circuits and Systems, 2007.
[9] C. Shi, B. C. Walker, E. Zeisel, E. B. Hu, and G. H. McAllister, A
highly integrated power management IC for advanced mobile
applications, CICC, 2006.
[10] B. Amelifard and M. Pedram, “Optimal design of the power-delivery
network for multiple voltage-island system-on-chips, IEEE T. on
Computer-Aided Design of Integrated Circuits and Systems, 2009.
[11] TexasInstruments, “Handset:smartphone, Available at:
http://www.ti.com/solution/handset_smartphone.
[12] Qualcomm, “Snapdragonł mdp msm8660 datasheet, Available at:
https://developer.qualcomm.com/develop/development-
devices/snapdragon-mdp-msm8660.
[13] G. A. Rincon-Mora and P. E. Allen, A low-voltage, low quiescent
current, low drop-out regulator, IEEE J. of Solid-State Circuits,
1998.
[14] J. Xiao, A. Peterchev, J. Zhang, and S. Sanders, An ultra-low-power
digitally-controlled buck converter IC for cellular phone
applications, APEC, 2004.
[15] F. Hossein, M. Ratul, K. Srikanth, L. Dimitrios, G. Ramesh, and
E. Deborah, “Diversity in smartphone usage, MobiSys, 2010.
Table 4: Example: DC-DC converter tuning results of four types of applications.
Clock Call
Group W
opt
Gain
η
Gain
P
Gain
η,max
Gain
P,max
W
opt
Gain
η
Gain
P
Gain
η,max
Gain
P,max
Group1 0.2356 11.3508 34.5181 27.2733 43.1362 0.2852 8.7073 30.6121 23.7710 40.8894
Group2 0.1369 21.1175 42.8718 31.2166 47.0092 0.1991 12.9707 37.3828 27.1296 44.5621
Group3 0.3751 6.7329 15.7217 13.5717 24.4609 0.3751 6.6543 15.5894 13.5717 24.4609
Group4 0.1180 15.2996 34.9625 19.4536 38.4971 0.1704 10.2327 28.7502 12.4670 31.7882
Group5 0.1123 15.5010 30.7496 17.9247 33.0915 0.1263 13.0017 27.9058 15.4971 30.7201
Group6 0.1128 14.6603 34.4909 15.9501 35.8211 0.1269 14.0235 33.7792 16.0955 35.9118
Group7 0.0884 6.9630 23.5545 8.1285 25.3117
Overall 9.9381 24.8974 15.0994 30.8775 9.3516 23.5594 16.2542 30.9136
Web browsing (Facebook) Videochat (Skype)
Group1 0.4216 4.4201 20.7700 11.9557 32.7724 0.4216 4.3408 20.544 12.8354 33.2795
Group2 0.2862 8.4227 30.8524 14.4969 38.0183 0.4230 4.1481 20.9525 13.4634 33.9652
Group3 0.3862 6.0869 14.5694 13.3955 24.1807 0.3751 6.6947 15.6603 13.5717 24.4609
Group4 0.2097 8.5744 25.9577 14.0064 33.1636 0.5375 1.6203 7.4004 2.5731 10.9563
Group5 0.1263 12.514 27.2880 15.7297 30.9577 0.1824 8.4489 21.3063 9.7984 23.4784
Group6 0.1128 14.3447 34.1397 15.9733 35.8437 0.2256 6.0727 24.9642 6.4484 25.8884
Group7 0.2210 1.9788 10.5936 2.0172 10.7499 0.1179 4.9756 19.7093 6.4110 22.5335
Overall 5.7545 19.0159 8.8194 25.0937 5.3158 17.9998 9.0870 24.6192
Table 5: Example: DC-DC converter tuning results of six types
of applications.
Application Gain
η
Gain
P
Gain
η,max
Gain
P,max
Setting 10.5807 25.6927 18.4262 32.4668
Camera 5.8590 19.0445 7.6900 23.1567
Game (Neocore) 6.2805 20.5779 8.4631 25.0661
Map (GoogleMap) 5.6135 18.7018 8.9731 25.1223
SMS 6.4957 20.4575 9.8490 26.5044
Media (Youtube) 6.6285 20.6710 9.4164 25.9968
Table 6: Example: DC-DC convertertuning results of two types
of the smartphone usage patterns.
Usage pattern Gain
η
Gain
P
Gain
η,max
Gain
P,max
Type I 6.2176 20.0263 13.6376 29.4715
Type II 6.2955 20.0705 15.2870 30.0543
the display is the highest. Clock is measured under the median
level of the backlight, WiFi on, and Setting is measured under the
lowest backlight and WiFi off. For the case of Call, we consider
auto turn-off screen during the call.
We apply the 10 types of applications in Table 4 and Table 5
to two representative types of smartphone usage patterns studied
in [15]. The resulted distribution of the output currents from the
first type of the usage patterns is shown in Figure 4. Table 6 shows
the optimization results for both types of usage patterns.
5. CONCLUSIONS
This paper shows that significant power loss incurs during power
conversion from the battery to devices in modern smartphones.
This is a downside of active semiconductor technology scaling that
makes different technology devices require different supply volt-
age levels. However, such a trend should not be discouraged be-
cause of the advantages from technology scaling. Instead, this pa-
per first introduces systematic system-level power conversion ef-
ficiency enhancement for smartphones. First, we propose equiv-
alent power converter concept that abstract a complicated power
converter tree from the battery to a device into a single equivalent
power converter. This again enables us to identify the model co-
efficients from application profiling. The proposed identification
can be applied to commercial smartphones that do not have current
sensors. We demonstrated the accuracy of power conversion effi-
ciency identification and how the current power converter setup is
offset from the optimal operating conditions. The proposed power
converter tuning showed 5% to 18% overall power conversion effi-
ciency enhancement, which restores up to 32% power loss during
power conversion.
W = 0.1W
def
W = W
def
W = 1.5W
def
6. REFERENCES
[1] A. Shye, B. Scholbrock, and G. Memik, “Into the wild: Studying real
user activity patterns to guide power optimizations for mobile
architectures, MICRO, 2009.
[2] L. Zhang, B. Tiwana, Z. Qian, Z. Wang, R. P. Dick, Z. M. Mao, and
L. Yang, Accurate online power estimation and automatic battery
behavior based power model generation for smartphones,
CODES/ISSS, 2010.
[3] M. Dong and L. Zhong, “Self-constructive high-rate system energy
modeling for battery-powered mobile systems, MobiSys, 2011.
[4] A. Pathak, Y. C. Hu, M. Zhang, P. Bahl, and Y. Wang, “Fine-grained
power modeling for smartphones using system call tracing, EuroSys,
2011.
[5] W. Yuan and K. Nahrstedt, “Energy-efficient soft real-time CPU
scheduling for mobile multimedia systems, SOSP, 2003.
[6] D. Shin, Y. Kim, N. Chang, and M. Pedram, “Dynamic voltage
scaling of oled displays, DAC, 2011.
[7] C. Inseok, S. Hojun, and C. Naehyuck, “Low-power color TFT LCD
display for hand-held embedded systems, ISLPED, 2002.
[8] Y. Choi, N. Chang, and T. Kim, “DC-DC converter-aware power
management for low-power embedded systems, IEEE T. on
Computer-Aided Design of Integrated Circuits and Systems, 2007.
[9] C. Shi, B. C. Walker, E. Zeisel, E. B. Hu, and G. H. McAllister, A
highly integrated power management IC for advanced mobile
applications, CICC, 2006.
[10] B. Amelifard and M. Pedram, “Optimal design of the power-delivery
network for multiple voltage-island system-on-chips, IEEE T. on
Computer-Aided Design of Integrated Circuits and Systems, 2009.
[11] TexasInstruments, “Handset:smartphone, Available at:
http://www.ti.com/solution/handset_smartphone.
[12] Qualcomm, “Snapdragonł mdp msm8660 datasheet, Available at:
https://developer.qualcomm.com/develop/development-
devices/snapdragon-mdp-msm8660.
[13] G. A. Rincon-Mora and P. E. Allen, A low-voltage, low quiescent
current, low drop-out regulator, IEEE J. of Solid-State Circuits,
1998.
[14] J. Xiao, A. Peterchev, J. Zhang, and S. Sanders, An ultra-low-power
digitally-controlled buck converter IC for cellular phone
applications, APEC, 2004.
[15] F. Hossein, M. Ratul, K. Srikanth, L. Dimitrios, G. Ramesh, and
E. Deborah, “Diversity in smartphone usage, MobiSys, 2010.
Table 4: Example: DC-DC converter tuning results of four types of applications.
Clock Call
Group W
opt
Gain
η
Gain
P
Gain
η,max
Gain
P,max
W
opt
Gain
η
Gain
P
Gain
η,max
Gain
P,max
Group1 0.2356 11.3508 34.5181 27.2733 43.1362 0.2852 8.7073 30.6121 23.7710 40.8894
Group2 0.1369 21.1175 42.8718 31.2166 47.0092 0.1991 12.9707 37.3828 27.1296 44.5621
Group3 0.3751 6.7329 15.7217 13.5717 24.4609 0.3751 6.6543 15.5894 13.5717 24.4609
Group4 0.1180 15.2996 34.9625 19.4536 38.4971 0.1704 10.2327 28.7502 12.4670 31.7882
Group5 0.1123 15.5010 30.7496 17.9247 33.0915 0.1263 13.0017 27.9058 15.4971 30.7201
Group6 0.1128 14.6603 34.4909 15.9501 35.8211 0.1269 14.0235 33.7792 16.0955 35.9118
Group7 0.0884 6.9630 23.5545 8.1285 25.3117
Overall 9.9381 24.8974 15.0994 30.8775 9.3516 23.5594 16.2542 30.9136
Web browsing (Facebook) Videochat (Skype)
Group1 0.4216 4.4201 20.7700 11.9557 32.7724 0.4216 4.3408 20.544 12.8354 33.2795
Group2 0.2862 8.4227 30.8524 14.4969 38.0183 0.4230 4.1481 20.9525 13.4634 33.9652
Group3 0.3862 6.0869 14.5694 13.3955 24.1807 0.3751 6.6947 15.6603 13.5717 24.4609
Group4 0.2097 8.5744 25.9577 14.0064 33.1636 0.5375 1.6203 7.4004 2.5731 10.9563
Group5 0.1263 12.514 27.2880 15.7297 30.9577 0.1824 8.4489 21.3063 9.7984 23.4784
Group6 0.1128 14.3447 34.1397 15.9733 35.8437 0.2256 6.0727 24.9642 6.4484 25.8884
Group7 0.2210 1.9788 10.5936 2.0172 10.7499 0.1179 4.9756 19.7093 6.4110 22.5335
Overall 5.7545 19.0159 8.8194 25.0937 5.3158 17.9998 9.0870 24.6192
Table 5: Example: DC-DC converter tuning results of six types
of applications.
Application Gain
η
Gain
P
Gain
η,max
Gain
P,max
Setting 10.5807 25.6927 18.4262 32.4668
Camera 5.8590 19.0445 7.6900 23.1567
Game (Neocore) 6.2805 20.5779 8.4631 25.0661
Map (GoogleMap) 5.6135 18.7018 8.9731 25.1223
SMS 6.4957 20.4575 9.8490 26.5044
Media (Youtube) 6.6285 20.6710 9.4164 25.9968
Table 6: Example: DC-DC converter tuning results of two types
of the smartphone usage patterns.
Usage pattern Gain
η
Gain
P
Gain
η,max
Gain
P,max
Type I 6.2176 20.0263 13.6376 29.4715
Type II 6.2955 20.0705 15.2870 30.0543
the display is the highest. Clock is measured under the median
level of the backlight, WiFi on, and Setting is measured under the
lowest backlight and WiFi off. For the case of Call, we consider
auto turn-off screen during the call.
We apply the 10 types of applications in Table 4 and Table 5
to two representative types of smartphone usage patterns studied
in [15]. The resulted distribution of the output currents from the
first type of the usage patterns is shown in Figure 4. Table 6 shows
the optimization results for both types of usage patterns.
5. CONCLUSIONS
This paper shows that significant power loss incurs during power
conversion from the battery to devices in modern smartphones.
This is a downside of active semiconductor technology scaling that
makes different technology devices require different supply volt-
age levels. However, such a trend should not be discouraged be-
cause of the advantages from technology scaling. Instead, this pa-
per first introduces systematic system-level power conversion ef-
ficiency enhancement for smartphones. First, we propose equiv-
alent power converter concept that abstract a complicated power
converter tree from the battery to a device into a single equivalent
power converter. This again enables us to identify the model co-
efficients from application profiling. The proposed identification
can be applied to commercial smartphones that do not have current
sensors. We demonstrated the accuracy of power conversion effi-
ciency identification and how the current power converter setup is
offset from the optimal operating conditions. The proposed power
converter tuning showed 5% to 18% overall power conversion effi-
ciency enhancement, which restores up to 32% power loss during
power conversion.
W = 0.5W
def
W = W
def
W = 1.5W
def
6. REFERENCES
[1] A. Shye, B. Scholbrock, and G. Memik, “Into the wild: Studying real
user activity patterns to guide power optimizations for mobile
architectures, MICRO, 2009.
[2] L. Zhang, B. Tiwana, Z. Qian, Z. Wang, R. P. Dick, Z. M. Mao, and
L. Yang, Accurate online power estimation and automatic battery
behavior based power model generation for smartphones,
CODES/ISSS, 2010.
[3] M. Dong and L. Zhong, “Self-constructive high-rate system energy
modeling for battery-powered mobile systems, MobiSys, 2011.
[4] A. Pathak, Y. C. Hu, M. Zhang, P. Bahl, and Y. Wang, “Fine-grained
power modeling for smartphones using system call tracing, EuroSys,
2011.
[5] W. Yuan and K. Nahrstedt, “Energy-efficient soft real-time CPU
scheduling for mobile multimedia systems, SOSP, 2003.
[6] D. Shin, Y. Kim, N. Chang, and M. Pedram, “Dynamic voltage
scaling of oled displays, DAC, 2011.
[7] C. Inseok, S. Hojun, and C. Naehyuck, “Low-power color TFT LCD
display for hand-held embedded systems, ISLPED, 2002.
[8] Y. Choi, N. Chang, and T. Kim, “DC-DC converter-aware power
management for low-power embedded systems, IEEE T. on
Computer-Aided Design of Integrated Circuits and Systems, 2007.
[9] C. Shi, B. C. Walker, E. Zeisel, E. B. Hu, and G. H. McAllister, A
highly integrated power management IC for advanced mobile
applications, CICC, 2006.
[10] B. Amelifard and M. Pedram, “Optimal design of the power-delivery
network for multiple voltage-island system-on-chips, IEEE T. on
Computer-Aided Design of Integrated Circuits and Systems, 2009.
[11] TexasInstruments, “Handset:smartphone, Available at:
http://www.ti.com/solution/handset_smartphone.
[12] Qualcomm, “Snapdragonł mdp msm8660 datasheet, Available at:
https://developer.qualcomm.com/develop/development-
devices/snapdragon-mdp-msm8660.
[13] G. A. Rincon-Mora and P. E. Allen, A low-voltage, low quiescent
current, low drop-out regulator, IEEE J. of Solid-State Circuits,
1998.
[14] J. Xiao, A. Peterchev, J. Zhang, and S. Sanders, An ultra-low-power
digitally-controlled buck converter IC for cellular phone
applications, APEC, 2004.
[15] F. Hossein, M. Ratul, K. Srikanth, L. Dimitrios, G. Ramesh, and
E. Deborah, “Diversity in smartphone usage, MobiSys, 2010.
δ
Figure 7: Relation between the power conversion efficiency and
W: Group 7.
Table 3: W
def
of the equivalent converter models.
Group 1 and 2 3 4 5 6 7
W
de f
1.2401 1.1033 1.3109 1.4033 1.4102 0.7368
based on linear regression. The resultant coefficient W
k
is the de-
fault value of W (W
de f
) of the k
th
equivalent converter, which is
shown in Table 3.
4.1 Width tuning
Figure 7 (a) shows an example in whichW changes the efficiency
graph of Group 7. Note that the efficiency of the DC-DC converter
increases as W becomes smaller (larger) in the relatively low (high)
current demand region. Other groups show similar behaviors. Fig-
ure 7 (b) shows the relation between the power loss and W under
the condition that the output current of Group 7 is 37 mA. Here, δ
is a control variable such that the modified value of W is calculated
as δ · W
de f
. The power loss plots have a convex functional form in
terms of W, which implies that there is a globally optimal value of
W, W
opt
, that minimizes the power loss of the equivalent converter.
Given that I
eqv_out
is a random variable, our goal of finding W
opt
for the actual usages of the various applications should be based on
the probability distribution of I
eqv_out
acquired by the usages of the
applications. Such probability distribution can be obtained via real
measurements, yielding the current profile of each module (thereby,
each group of modules) when each type of application is running.
We run 10 representative smartphone applications and collect the
probability distribution of I
eqv_out
for each group of modules and
for each application.
Throughout the module current profiles collection and (11), the
expected power loss of an equivalent converter can be generally
expressed as:
E[P
eqv
] =
r
1
W
+ r
2
E[I
eqv_out
2
] + bE[I
eqv_out
] + r
3
W + r
4
. (12)
where E[I
eqv_out
] denotes the expected value of I
eqv_out
, and E[I
eqv_out
2
]
is the expected value of I
2
eqv_out
.
To findW
opt
, we limit δ to two decimal places to avoid high com-
putation cost (also in practice, two decimal place precision is quite
adequate.) We define the power conversion efficiency enhancement
by Gain
η
= 100
η
optimal
/η
original
1
and power loss reduction
by Gain
P
= 100(1 P
optimal
/P
original
), where the optimal power
conversion efficiency, η
optimal
, and power loss, P
optimal
, are de-
rived from (10) with the optimal value of δ, and the original power
conversion efficiency, η
original
, and power loss, P
original
are derived
from (10) with δ = 1.
4.2 DC-DC converter tuning results
Table 4 shows an example of the width tuning that each W
opt
is
derived from each group and four different applications, ‘Clock’,
‘Call’, ‘Facebook’, and ‘Skype-videochat’. As each application
causes different current distribution, the values of W
opt
for the same
group are different. Table 4 also shows the tuning results for the
four applications. According to the resulted W
opt
for each group,
the Gain
η
and Gain
P
columns show the resultant gains for each
group. The upper bound of the power conversion efficiency en-
hancement (Gain
η,max
) and the power loss reduction (Gain
p,max
)
are obtained from the distribution of I
eqv_out
and W
opt
.
We collect the current distribution data from 10 applications, in-
cluding the four applications named in Table 4, ‘Camera’, ‘Google-
Map’, ‘Neocore’, ‘SMS’, ‘System setting’, and ‘Youtube’. All the
applications except ‘Clock’ and ‘System setting’ are run under the
same setup where WiFi is turned on and the backlight level of the
display is the highest. ‘Clock’ is measured under the median level
of the backlight and WiFi on, whereas ‘System setting’ is measured
under the lowest backlight and WiFi off. For the case of ‘Call’, we
consider auto turn-off screen during the call. We, then, classify
the 10 applications into seven categories, i) communication (con-
tains ‘SMS’, ‘Call’, and ‘Skype-videochat’), ii) browsing (contains
‘Web browsing’), iii) media (contains ‘Camera’ and ‘Youtube’),

Citations
More filters
Journal ArticleDOI

Converter-Gating: A Power Efficient and Secure On-Chip Power Delivery System

TL;DR: Dynamic power management techniques and related voltage converter architectures are proposed to design a secure and efficient on-chip power delivery system and converter-gating technique is further utilized as a countermeasure against side channel power analysis attacks.
Journal ArticleDOI

Dynamic Driver Supply Voltage Scaling for Organic Light Emitting Diode Displays

TL;DR: This paper introduces the first OLED power saving technique that dynamically changes the supply voltage of the panel, and provides an online color compensation algorithm using the luminance histogram, which achieves resource minimization.
Journal ArticleDOI

Optimizing a Reconfigurable Power Distribution Network in a Multicore Platform

TL;DR: This paper discusses the PDN with heterogeneous VRs, which is proposed to increase the benefits of the VRCon by incorporating VRs with a larger driving capability of load current and results from detailed simulations demonstrate up to 36% VR energy loss reduction and 9% total energy saving.
Journal ArticleDOI

Optimizing the Power Delivery Network in a Smartphone Platform

TL;DR: Experimental results demonstrate that the static switch sizing can achieve 6% power conversion efficiency enhancement, which translates to 19% reduction in power loss general usage of the smartphone, while the dynamic switch modulation accomplishes similar improvement at the same condition, while also achieving high efficiency enhancement in various load conditions.
Proceedings ArticleDOI

VRCon: dynamic reconfiguration of voltage regulators in a multicore platform

TL;DR: Two optimization methods are presented to maximize the system-wide energy savings: reactiveVR consolidation to reconfigure the network for maximizing the power conversion efficiency of the VRs performed under the pre-determined DVFS levels for the cores, and proactive VR consolidation to determine new DV FS levels for maximize the total energy savings without any performance degradation.
References
More filters
Proceedings ArticleDOI

Accurate online power estimation and automatic battery behavior based power model generation for smartphones

TL;DR: PowerBooter is an automated power model construction technique that uses built-in battery voltage sensors and knowledge of battery discharge behavior to monitor power consumption while explicitly controlling the power management and activity states of individual components.
Proceedings ArticleDOI

Diversity in smartphone usage

TL;DR: A comprehensive study of smartphone use finds that qualitative similarities exist among users that facilitate the task of learning user behavior and demonstrates the value of adapting to user behavior in the context of a mechanism to predict future energy drain.
Journal ArticleDOI

A low-voltage, low quiescent current, low drop-out regulator

TL;DR: In this article, a low-voltage, low dropout (LDO) regulator is proposed to minimize the quiescent current flow in a battery-operated system, which is an intrinsic performance parameter because it partially determines battery life.
Journal ArticleDOI

Energy-efficient soft real-time CPU scheduling for mobile multimedia systems

TL;DR: Compared to deterministic scheduling and voltage scaling, GRACE-OS saves energy by 7% to 72% while delivering statistical performance guarantees, and delivers soft performance guarantees by bounding the deadline miss ratio under application-specific requirements.
Proceedings ArticleDOI

Into the wild: studying real user activity patterns to guide power optimizations for mobile architectures

TL;DR: A regression-based power estimation model is presented that accurately estimates power consumption and provides insights about the power breakdown among hardware components, and it is shown that energy consumption widely varies depending upon the user.
Related Papers (5)
Frequently Asked Questions (1)
Q1. What have the authors contributed in "Power conversion efficiency characterization and optimization for smartphones" ?

This paper starts from the observation that modern smartphones waste a significant amount of the battery ’ s stored energy during power conversion from the 3. Experimental results demonstrate that the authors can achieve 6 % to 15 % power conversion efficiency enhancement, which translates to up to 30 % reduction in the power losses incurred during power conversion in smartphones.