scispace - formally typeset
Journal ArticleDOI

The M5 Simulator: Modeling Networked Systems

Reads0
Chats0
TLDR
The M5 simulator provides features necessary for simulating networked hosts, including full-system capability, a detailed I/O subsystem, and the ability to simulate multiple networked systems deterministically.
Abstract
The M5 simulator is developed specifically to enable research in TCP/IP networking. The M5 simulator provides features necessary for simulating networked hosts, including full-system capability, a detailed I/O subsystem, and the ability to simulate multiple networked systems deterministically. M5's usefulness as a general-purpose architecture simulator and its liberal open-source license has led to its adoption by several academic and commercial groups

read more

Citations
More filters
Proceedings ArticleDOI

McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures

TL;DR: Combining power, area, and timing results of McPAT with performance simulation of PARSEC benchmarks at the 22nm technology node for both common in-order and out-of-order manycore designs shows that when die cost is not taken into account clustering 8 cores together gives the best energy-delay product, whereas when cost is taking into account configuring clusters with 4 cores gives thebest EDA2P and EDAP.
Proceedings ArticleDOI

Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation

TL;DR: Interval simulation provides a balance between detailed cycle-accurate simulation and one-IPC simulation, allowing long-running simulations to be modeled much faster than with detailed cycle, while still providing the detail necessary to observe core-uncore interactions across the entire system.
Journal ArticleDOI

Corona: System Implications of Emerging Nanophotonic Technology

TL;DR: This work believes that in comparison with an electrically-connected many-core alternative that uses the same on-stack interconnect power, Corona can provide 2 to 6 times more performance on many memory intensive workloads, while simultaneously reducing power.
Proceedings ArticleDOI

PDRAM: a hybrid PRAM and DRAM main memory system

TL;DR: PDRAM, a novel energy efficient main memory architecture based on phase change random access memory (PRAM) and DRAM, and a low overhead hybrid hardware-software solution for managing it is proposed.
References
More filters
Journal ArticleDOI

Simics: A full system simulation platform

TL;DR: Simics is a platform for full system simulation that can run actual firmware and completely unmodified kernel and driver code, and it provides both functional accuracy for running commercial workloads and sufficient timing accuracy to interface to detailed hardware models.
Journal ArticleDOI

Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset

TL;DR: The Wisconsin Multifacet Project has created a simulation toolset to characterize and evaluate the performance of multiprocessor hardware systems commonly used as database and web servers as mentioned in this paper, which includes a set of timing simulator modules for modeling the timing of the memory system and microprocessors.

Multifacets General Execution-Driven Multiprocessor Simulator (GEMS) Toolset

M. M. Martin
TL;DR: The Wisconsin Multifacet Project has created a simulation toolset to characterize and evaluate the performance of multiprocessor hardware systems commonly used as database and web servers and has released a set of timing simulator modules for modeling the timing of the memory system and microprocessors.

Evaluating future microprocessors : The SimpleScalar tool set

TL;DR: An anview of the SimpleScalar tool set is given, show how to obtain, install and use it, and details about the tools’ internals are discussed.
Journal ArticleDOI

Complete computer system simulation: the SimOS approach

TL;DR: SimOS is a simulation environment capable of modeling complete computer systems, including a full operating system and all application programs that run on top of it and provides substantial flexibility in the tradeoff between simulation speed and detail.
Related Papers (5)