scispace - formally typeset
Proceedings ArticleDOI

Via-in-Trench: A Revolutionary Panel-Based Package RDL Configuration Capable of 200-450 IO/mm/Layer, an Innovation for More-Than-Moore System Integration

TLDR
In this article, a via-in-trench (ViT) interconnect for panel-scale package redistribution layer (RDL) configuration is presented, which consists of ultra-fine copper embedded trenches and microvias with diameter equal to the width of trenches using a 5 µm thick dry film photosensitive dielectric.
Abstract
This paper presents, for the first time, a novel silicon damascene like via-in-trench (ViT) interconnect for panel-scale package redistribution layer (RDL) configuration. The panel scale damascene RDL in this paper comprises of ultra-fine copper embedded trenches and microvias with diameter equal to the width of trenches using a 5 µm thick dry film photosensitive dielectric. A 140 µm thick glass substrate is used as the core material. The new panel scalable ViT interconnect is targeted for low cost, next generation 2D and 2.5D interposers and high density packages. The ViT RDL is integrated with 2 µm diameter microvias with 2.5 µm half-line pitch copper traces embedded in a 5 µm thick dry film photo-imageable dielectric (PID) polymer. This RDL integration directly translates to IO density of 200 IO/mm/layer. IO/mm/layer, as defined by Intel, is the number of wires routed per mm of die edge on each layer of package substrate. There is no capture pad required for ViT interconnect demonstrated in this paper. The routing Cu trace is aligned directly on top of microvia instead of the conventional via-capture pad-trace interconnect configuration. The fabrication of such a high density RDL is achieved by patterning a trench over via and then fully filling with copper. Conventional i-line (365 nm) photolithography, widely used for patterning PWB and package substrates, was employed for fine trenches formation as well as small microvias in the PID. An advanced 5 µm thick PID film IF4605 was selected for build-up layers. Experimental results showed that microvias with diameters of 2 µm and trenches with half-line pitch of 2.5 µm were achieved in 5 µm thick IF dry film. Traces with half-line pitch of 1 µm were demonstrated in a 3 µm thick liquid photo resist film. The aspect ratios were 2.5 for dry film PID and 3 for liquid photo-resist respectively. The best interconnection density in terms of IO/mm/layer was calculated to be 200 using dry film PID and can be extended to 450 using thinner PIDs. For comparison, the IO density for state-of-the-art organic interposer was 40 by using semi-additive process (SAP). The embedded trench technology breaks through the limit of SAP and achieves 5-10X interconnect density compared to SAP. The ViT interconnect is a revolutionary package RDL configuration to meet the requirements of future package substrates for high performance computing, high bandwidth memory and micro-miniaturized system applications. The demonstration of ViT RDL configuration on thin glass substrate with L/S/Via/Pitch of 2.5/2.5/2/20 µm using embedded trench approach will be presented and the fabrication processes will be described in detail.

read more

Citations
More filters
Journal ArticleDOI

Broadband and Miniaturized Antenna-in-Package (AiP) Design for 5G Applications

TL;DR: The monopole taper radiator is adopted for the proposed Yagi antenna design to miniaturize the size, extend the bandwidth, and simplify the feeding network, and the proposed AiP design is broadband enough to cover all three 5G New Radio bands simultaneously.
Proceedings ArticleDOI

2.5D Glass Panel Embedded (GPE) Packages with Better I/O Density, Performance, Cost and Reliability than Current Silicon Interposers and High-Density Fan-Out Packages

TL;DR: In this article, the authors demonstrate a 2.5D glass panel embedding (GPE) architecture with better I/O density, performance, cost and reliability than silicon interposers and high density fan-out packages for heterogeneous integration.
Proceedings ArticleDOI

Leading-Edge and Ultra-Thin 3D Glass-Polymer 5G Modules with Seamless Antenna-to-Transceiver Signal Transmissions

TL;DR: In this paper, the authors demonstrate seamless antenna-to-transceiver signal transitions on panel-scale processed ultra-thin glass-based 5G modules with impedance-matched transmission lines and microvias with high-precision low-loss re-distribution layer design and fabrication, for high-speed 5G communication standards at the 28 GHz band.
Journal ArticleDOI

Cointegration of Single-Mode Waveguides and Embedded Electrical Interconnects for High-Bandwidth Communications

TL;DR: In this paper, the modeling, development, and demonstration of glass interposer technology with singlemode waveguides (SMWGs) for high-bandwidth communications and embedded trenches for ultrafine copper traces for high speed electronics is presented.
Proceedings ArticleDOI

Reliability Studies of 5 µm Diameter Photo Vias with Daisy Chain Resistance Using Dry Film Photosensitive Dielectric Material

TL;DR: In this article, the authors demonstrate reliability of via diameter below 5 µm using panel-scalable dry film photosensitive dielectric, which enables high density redistribution layer (RDL) technology for panel-based fan-out and interposer substrates.
References
More filters
Proceedings ArticleDOI

Advances in embedded traces for 1.5µm RDL on 2.5D glass interposers

TL;DR: In this paper, the first demonstration of 1.5µm ultra-fine copper trace re-distribution layers (RDL) with embedded trace processes for 2.5D glass interposers is presented.
Proceedings ArticleDOI

Ultra-thin line embedded substrate manufacturing for 2.1D/2.5D SiP application

TL;DR: In this article, the fabrication of fine line substrate in the coreless process of build-up 5/5 µm line/space by adopting line embedded technology is discussed. But the impact of weak adhesion of fine lines is very small in line embedded (LE) substrate because of it is in embedded structure.
Proceedings ArticleDOI

Via 2 - Laser Embedded Conductor Technology 2008 The 3rd IMPACT and 10th EMAP Joint Conference

R. Huemoeller
TL;DR: In this paper, the authors describe the opportunity to reduce the number of vias and layers in the substrate, the unique opportunity to optimize electrical performance, and the potential miniaturization in design as a result.
Related Papers (5)