scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1981"


Journal ArticleDOI
TL;DR: In this paper, the authors present a method for writing patterns in thin films of electron sensitive material using a finely focused (sub-micrometre diameter) electron beam, which can be generated with great accuracy by combining electrical scanning with interferometrically monitored mechanical motion.
Abstract: Electron beam lithography means writing patterns in thin films of electron sensitive material using a finely focused (sub-micrometre diameter) electron beam. By combining electrical scanning with interferometrically monitored mechanical motion, very complex patterns can be generated with great accuracy; for example, a pattern containing one-micrometre features can extend over 100 mm with a positional accuracy of 025 μm. In the manufacture of integrated circuits this technique is used for generating masks which are then projected optically onto silicon wafers which are coated with photosensitive resists. For making circuits with sub-micrometre features the resist-coated wafer can be exposed directly with the electron beam; however this is slow because the electron beam exposure is point-by-point and there are limits to electron beam intensity and resist sensitivity. Overcoming this limit is possible using techniques which allow the exposure of many points simultaneously but such techniques are not...

129 citations


Journal ArticleDOI
TL;DR: It was found that ordinary-type Fresnel (zone-plate) lenses and a special-type lens for converting an incident Gaussian intensity distribution into a uniform one have near-diffraction-limited performance.
Abstract: Micro lenses are basic components of micro optics. We have proposed a new fabrication technique for micro lenses that uses electron-beam lithography and have developed an electron-beam lithography system that is specially designed for this purpose. To demonstrate the feasibility of this technique, ordinary-type Fresnel (zone-plate) lenses and a special-type lens for converting an incident Gaussian intensity distribution into a uniform one were designed and fabricated. It was found that these Fresnel (zone-plate) lenses have near-diffraction-limited performance. The fabrication technique and experimental results are discussed.

101 citations


Journal ArticleDOI
TL;DR: In this article, exposure and development models for positive polymeric electron resist profiles were examined in terms of fabrication techniques used in electron beam lithography to predict resist profiles, and the dependence of proximity effects on resist and substrate thickness, beam voltage, and substrate material was discussed.
Abstract: This review examines exposure and development models which are used in electron beam lithography to predict resist profiles. The following topics are discussed: development models of positive polymeric electron resists, in particular, poly‐methyl‐methacrylate; exposure models based on analytic or Monte Carlo calculations of energy dissipation; comparisons of experimental measurements of linewidth and profile shape and predictions based upon exposure and development models; the dependence of proximity effects on resist and substrate thickness, beam voltage, and substrate material; and finally algorithms used to alter the exposure or pattern shape or both to compensate for proximity effects. The understanding which is gained from the exposure and development models is discussed in terms of fabrication techniques used in electron beam lithography.

50 citations


Journal ArticleDOI
Lawrence D. Jackel1, Richard Howard1, E. L. Hu1, Donald M. Tennant1, P. Grabbe1 
TL;DR: In this paper, a trilevel electron beam resist has been used to make 25nm metal features on thick silicon substrates using this metal as a mask for reactive ion etching, silicon structures 0.33 μm deep have been fabricated.
Abstract: A trilevel electron beam resist has been used to make 25‐nm metal features on thick silicon substrates. Using this metal as a mask for reactive ion etching, silicon structures 0.33 μm deep have been fabricated. The resist consists of a thin upper layer of polymethylmethacrylate (PMMA), a middle layer of Ge, and a lower layer of co‐polymer of methylmethacrylate and methacrylic acid, P(MMA/MAA). High‐resolution patterns are written in the upper resist layer and are transferred to the lower layers by reactive‐ion etching. Completed resist stencils have 300‐nm high walls with near‐vertical profiles and are suitable for liftoff processing.

47 citations


Journal ArticleDOI
TL;DR: In this article, a new method for computing proximity effect corrections for submicron electron-beam lithography is introduced based on a fast algorithm for shape partitioning to gain better control for resultant exposure intensity distribution across each shape in the pattern.
Abstract: A new method for computing proximity effect corrections for submicron electron‐beam lithography is introduced It is based on a fast algorithm for shape partitioning to gain better control for resultant exposure intensity distribution across each shape in the pattern By careful investigation of the intrashape and the intershape proximity effects the program supplies a means of controlling the submicron pattern delineation Values for the parameters of the double Gaussian function used in the calculation of the dose variation factors are given for a variety of exposure conditions Results of the application of the program to delineation of submicrometer patterns in PMMA and AZ 1350 resist on silicon substrate are presented

37 citations


Journal ArticleDOI
TL;DR: A negative resist consisting of a layer of germanium selenide ∠1800 A thick with a surface layer of silver selenides ∠100 A thick was irradiated with 10 to 38 keV He, N, Ar, and Xe ions as discussed by the authors.
Abstract: A negative resist consisting of a layer of germanium selenide ∠1800 A thick with a surface layer of silver selenide ∠100 A thick was irradiated with 10 to 38 keV He, N, Ar, and Xe ions. While polymer resists require an incident ion to pass through the entire thickness of the resist film for exposure, germanium selenide films can be exposed with ions which penetrate only the ∠100 A thick silver selenide surface layer. Thus, germanium selenide is an attractive resist system for low energy focused ion beam lithography. Resist sensitivities of ∠1013 to 1015 ions/cm2 were measured. Both nuclear and electronic stopping contribute to exposing the resist. Submicron lines have been produced in germanium selenide with a 20 keV finely focused ion beam.

34 citations


Journal ArticleDOI
TL;DR: In this article, a new model of an electron free path in multiple layers is proposed for Monte Carlo simulation of electron trajectories, taking into account not only the scattering probability in the layer involving the initial scattering point but also that in the layers along the scattering direction.
Abstract: A new model of an electron free path in multiple layers is proposed for Monte Carlo simulation of electron trajectories. In this model, the free path is calculated taking into account not only the scattering probability in the layer involving the initial scattering point but also that in the layers along the scattering direction. The result, simulated with the new model, agrees with the experimental result much better than results obtained with conventional models for backscattered electron intensity. It is also suggested that the simulation accuracy for the electron beam lithography is improved using the new model.

34 citations


Patent
15 Jul 1981
TL;DR: In this article, an E-beam lithography process for forming via holes in insulating layers, such as quartz, on semiconductor devices is described, where an underlayer of an adhesion promoter is used (e.g. KMR resist which is desensitized by heating) followed by overcoating with an Ebeam sensitive positive image resist layer of the novolak/diazobenzophenone family.
Abstract: An E-beam lithography process for forming via holes in insulating layers, such as quartz, on semiconductor devices. Where quartz is used, an underlayer of an adhesion promoter is used (e.g. KMR resist which is desensitized by heating) followed by overcoating with an E-beam sensitive positive image resist layer of the novolak/diazobenzophenone family. After exposure with an E-beam the development is performed at low temperatures (e.g. 14° C.) with end-point detection to indicate a further degree of overdevelopment, followed by controlled heating to post-bake of the image resist to obtain round and properly tapered via holes.

33 citations


Patent
21 May 1981
TL;DR: In this article, a multi-channel EBAL apparatus and method of operation employing a plurality of parallel operated electron beam channels with each electron beam channel being of the fly's eye array optics type having an electron gun for producing an electron beam, an array lenslet assembly and an associated fine deflector assembly together with a coarse deflector for selectively directing the electron beam to a desired array lenseslet within the array lenslets assembly.
Abstract: A multi-channel EBAL apparatus and method of operation employing a plurality of parallel operated electron beam channels with each electron beam channel being of the fly's eye array optics type having an electron gun for producing an electron beam, an array lenslet assembly and an associated fine deflector assembly together with a coarse deflector for selectively directing the electron beam to a desired array lenslet within the array lenslet assembly. The associated fine deflector element thereafter directs the electron beam to a desired point on a target surface such as a target semiconductor wafer being processed by electron beam lithography. A common movable stage is provided for supporting the target wafer surfaces below the plurality of parallel operated electron beam channels and for moving the target surfaces in common relative to the array optics axes of all of the electron beam channels. Common movement of all of the target surfaces is achieved automatically in preprogrammed manner preferably along either axis of an x-y translation mechanism. All of the electron beam channels are supported within a common housing which is evacuated along with the movable target platform which serves to move the target surfaces in common. The apparatus can be employed in conjunction with a pattern registration grid mapped with the aid of a lenslet stitching calibration grid and which provides fiducial marking signals for identifying the boundaries of the field of view of the respective lenslets in each electron beam channel as well as the field of view of all of the electron beam channels and for stitching together the required number of the fields of view to thereby cover a desired area of a target surface. Provision is made for accommodating the occurance of any flawed lenslet assemblies by permuting the target surface so as to place any portion of a target surface that had been subject to a flawed lenslet under the view of an unflawed lenslet for subsequent electron beam treatment. Increased production capacity can be obtained by connecting a plurality of such EBAL apparatuses in parallel and controlling them in common by an overall system executive computer.

33 citations


Journal ArticleDOI
TL;DR: In this paper, the authors compared COP, PGMA, and CMPS for electron beam lithography and showed that COP has a desirable combination of properties, including high sensitivity, high dry-etch resistance, and high resolution.
Abstract: Poly(chloromethylstyrene) (PCMS) is shown to have a desirable combination of properties, including high sensitivity, high dry‐etch resistance, and high resolution. As a consequence, it is a very attractive candidate for high performance (throughput, resolution, process compatibility) electron beam lithography. PCMS lithographic performance is higher than that of any other previously reported, high‐sensitivity negative electron resist. Performance results are compared specifically with COP, PGMA, and CMPS, and are correlated with molecular and chemical properties. PCMS synthesis and evaluation results are reported for polymers with molecular weights (?w) between 20 000 and 450 000 and polydispersivities between 1.3 and 2.3. A typical high molecular weight (?w = 381 000) material with polydispersivity of about 1.6 has sensitivity (Dg0.5)<0.5 μC/cm2, contrast ≳1.5, and resolution <1.0 μm (equal lines and spaces). Respective values for a typical low molecular weight (?w = 22 000) material with polydispersivit...

33 citations


Patent
Eugene R Westerberg1, Ivor Brodie1
10 Apr 1981
TL;DR: Parallel exposure electron beam lithography as discussed by the authors is a system for directly writing an integrated circuit pattern simultaneously at a plurality of locations on the surface of a resist-coated semiconductor wafer.
Abstract: Parallel exposure electron beam lithography system for directly writing an integrated circuit pattern simultaneously at a plurality of locations on the surface of a resist-coated semiconductor wafer. An electron source (110) produces an electron beam which is used to illuminate an object aperture (150). A screen lens (160) consisting of a multiplicity of holes breaks up the flood electron beam emanating from the object aperture (150) into a multiplicity of beams in parallel and focuses them on a resist-coated substrate (190). Each hole in the screen lens acts like a small aperture lens when a positive potential is applied to the wafer (190) with respect to the screen lens (160). A pair of octupole deflectors (210) electronically control the angle with which the electron beam strikes the screen lens (160). This controls the deflection of the images beneath each of the screen lenses. An interferometer-controlled stage moves in a direction orthogonal to the direction of beam deflection and, in conjunction with the synchronous blanking of the flood electron beam, effectively scans out a predetermined integrated circuit pattern under each lens. Alternatively, an ion source may be used with an ion-sensitive resist coated substrate or ions may be implanted directly into a substrate.

Journal ArticleDOI
TL;DR: EL‐3 is IBM’s third generation of e‐beam lithography tools developed for the fabrication of semiconductor devices using direct write techniques and has a high throughput capability that is competitive with many optical lithography systems.
Abstract: EL‐3 is IBM’s third generation of e‐beam lithography tools developed for the fabrication of semiconductor devices using direct write techniques. The system is designed to operate as a direct write manufacturing tool in a semiconductor factory. EL‐3 has a high throughput capability that is competitive with many optical lithography systems. The system has the capability to cover the lithography requirements at 1 μ and above. In addition, the tool can be operated as a highly effective mask maker. EL‐3 makes use of many of the state of the art techniques associated with electron beam system lithography. These techniques include (1) LEARN calibrated field scan, (2) high current, variable shaped spot, (3) dual channel deflection of large fields and of subfields, (4) high performance handling of large workpieces (6 1/2 in., 163 mm), and (5) Series/ 1 data handling, system control, and automatic operation EL‐3 can expose 30 4 in. (100 mm) wafers per hour at 1.5 μ lithography at 10 μC/cm2 current dose. Four EL‐3 t...

Patent
03 Aug 1981
TL;DR: In this article, a transparent liquid-gate is used to monitor the exposure of a positive photoresist layer on a semiconductor substrate surface to light in a projection exposure system.
Abstract: In a printed circuit formation process, a positive photoresist layer on a semiconductor substrate surface is exposed to light in a projection exposure system through a transparent liquid-gate which has an index of refraction n L closer to the index of refraction n R of the resist layer than is the index of refraction of air. This reduces reflection of light from the resist layers and thus minimizes standing waves in the layer, which waves produce a deleterious layered resist structure and require critical dependence of exposure time on absolute resist thickness. By using photo developer liquid in the gate as the index matching medium together with a reflex viewing system, visual monitoring of the expose/develop process in real time is realized.

Journal ArticleDOI
TL;DR: In this article, computer simulations of resist profiles obtained in x-ray lithography for exposures made either with synchrotron radiation or with an Al-Kα source are presented.
Abstract: This paper presents a detailed study on computer simulations of resist profiles obtained in x‐ray lithography for exposures made either with synchrotron radiation or with an Al–Kα source. It is assumed, for purposes of the calculations, that the vacuum windows consist of kapton and that silicon is used as the mask material. The influence of edge shape and mask absorber thickness upon the resist structure is of special interest. The other parameters affecting resist profiles, such as Fresnel diffraction (especially in the case of semitransparent absorbers) and photoelectron range, are taken into consideration. In the case of the x‐ray tube, the penumbral blur caused by the finite dimensions of the source spot leads to an additional deterioration of the edge sharpness. For the calculations, the intensity distribution over the spot area was assumed to be uniform (with Gaussian‐shaped edges). The influence of the photoelectron range upon the resist profiles is calculated, using the simple depth‐dose relationship of Gruen. The calculated resist profiles are compared with typical experimental results.

Journal ArticleDOI
TL;DR: In this article, thin film silicon is found to be a desirable interlayer material for e-beam lithography with multilayer resist systems, and lines as narrow as 200 nm in 2 μm of Hunt positive resist were holographically produced.
Abstract: Thin film silicon is found to be a desirable interlayer material for e‐beam lithography with multilayer resist systems. It is easily etched in CF4 plasma (Si/PMMA: 30/1) yet resists O2 reactive ion etch (Si/HPR: 1/300). It is sufficiently conductive to avoid charging effects, both during lithography and SEM inspection. High optical contrast aids in inspection. Monte Carlo calculations show that a 2.5 μm bottom layer of polymer can substantially alleviate the proximity effect, even with an 80 nm Si interlayer. Pattern transfer with less than 100 nm linewidth loss is demonstrated. Lines as narrow as 200 nm in 2 μm of Hunt positive resist were holographically produced.

Journal ArticleDOI
TL;DR: Linewidth control using a tri-layer resist system on wafers with topography is investigated in this paper, where an absorbing dye is incorporated in the bottom layer to improve the usable resolution.
Abstract: Linewidth control using a tri-layer resist system on wafers with topography is investigated. An absorbing dye is incorporated in the bottom layer to improve the usable resolution. Resist patterns of 1-µm lines and spaces over aluminized topography are demonstrated using a projection aligner. The advantages of a multilayer system are investigated using an exposure and development simulation program for optical lithography. The relative contributions of planarization and reflection suppression are discussed.

Journal ArticleDOI
K. Kamei1, H. Kawasaki1, T. Chigira1, Takatosi Nakanisi1, K. Kawabuchi1, M. Yoshimi1 
TL;DR: In this paper, a 1.75 dB low-noise GaAs MESFET with an associated gain of 8.5 dB and a maximum available gain of 11 dB were obtained at drain currents of 10 mA and 30 mA, respectively.
Abstract: Quarter-micron gate low-noise GaAs MESFETs have been developed by delineating gate electrodes by an electron-beam lithography technique and by using high-purity epiwafers prepared by a metal-organic-chemical vapour deposition (MOCVD) technique. At 18 GHz, a noise figure of 1.75 dB with an associated gain of 8.5 dB and a maximum available gain of 11 dB were obtained at drain currents of 10 mA and 30 mA, respectively. This is the lowest noise figure yet reported for low-noise GaAs MESFETs.

Journal ArticleDOI
TL;DR: In this paper, the design of a high speed electron-beam lithography column is described, which produces a beam current of 600 nA in an 0.5 μm round spot, and a deflection field of 5 mm2.
Abstract: The design of a high speed electron‐beam lithography column is described. Designed for use with a high speed raster scan system, the column produces a beam current of 600 nA in an 0.5 μm round spot, and has a deflection field of 5 mm2. The column uses a zirconiated thermal field emission cathode, two magnetic lenses, with an intermediate cross‐over for blanking purposes, and a two‐stage electrostatic deflection system, producing both speed and precision. The column is compatible with a 300 MHz pixel exposure rate and has an accuracy of better than ±0.125 μm (2σ).

Journal ArticleDOI
Alec N. Broers1
TL;DR: In this paper, the authors compared the resolution of electron beam and optical exposure, and defined the minimum linewidth as the point at which the contrast of the exposure system has fallen to 30 percent.
Abstract: Resolution, overlay, and field size limits for UV, X-ray, electron beam, and ion beam lithography are described. The following conclusions emerge in the discussion. 1) At 1-µm linewidth, contrast for optical projection can be higher than that for electron beam. 2) Optical cameras using mirror optics and deep UV radiation can potentially produce linewidths approaching 0.5 µm. 3) For the purpose of comparing the resolution of electron beam and optical exposure, it is useful to define the minimum linewidth as twice the linewidth at which the contrast of the exposure system has fallen to 30 percent. 4) X-ray lithography offers the highest contrast and resist aspect ratio for linewidths above about 0.1 µm, but for dimensions below 0.1 µm, highest aspect ratio is obtained with electron beam. 5) With electron beam exposure on a bulk sample, contrast for a 50-nm linewidth is the same as that for 1-µm linewidth, provided the resist is thin. Higher accelerating voltages make it easier to correct for proximity effects and to maintain resolution with thick resist. 6) Ultimately the range of secondary electrons limits resolution in electron beam lithography, just as the range of photoelectrons limits resolution in X-ray lithography. In both cases, minimum linewidth and spacing in dense patterns is about 20 nm. Resolution with ion beams will probably be about the same because the interaction range of the ions will be similar to the electrons.

Journal ArticleDOI
TL;DR: In this paper, an experimental and theoretical study on a correction method of the proximity effect which contains the consideration of the three-dimensional profile of a resist is presented. But the work is limited to two-dimensional exposure intensity distribution (EID).
Abstract: Electron‐beam fabrication offers several important advantages for lithography, including a capability of geometries smaller than one micrometer, a high adaptability to automation and the ability to write directly on a Si wafer without the need for a mask. However, for submicron patterns, a proximity effect is observed by the behavior of incident electrons in a resist. In electron‐beam lithography the exposure intensity distribution (hereafter EID) is an essential physical quantity for implementing a proximity effect correction. There are many correction methods and several methods have been tried to correct for practical devices. However, the variation of the EID along the distance into the resist from the surface is neglected, and only a two‐dimensional EID is presented in those articles. The present paper describes experimental and theoretical study on a correction method of the proximity effect which contains the consideration of the three‐dimensional profile of a resist. It has been found from a Monte Carlo simulation that a cross‐sectional profile can be controlled by an additional exposure at the vicinity of a pattern edge. With this method, for example, an undercut resist pattern, which is suitable for a lift‐off process, can be obtained, avoiding as a whole the overdose on a pattern. A 0.5 μm line and space pattern with 1 μm thickness PMMA has been easily obtained, namely, when under‐developed, the wall profile of the resist becomes rather steep, and when properly developed, the profile becomes undercut.

Patent
John Kelly1, Fuei Pei Kuo1, Bernard M. Oliver1, Jack D. Foster1, Wayne C. Haase1 
02 Jun 1981
TL;DR: An electron beam blanker for use in electron beam lithography systems is described in this paper, which is capable of providing exposure rates on the order of 300MHz at beam currents of approximately 600nA.
Abstract: An electron beam blanker for use in electron beam lithography systems is disclosed which is capable of providing exposure rates on the order of 300MHz at beam currents of approximately 600nA A condensing lens and a stigmator are provided to bring the electron beam to a small image in a plane perpendicular to the beam direction An etched silicon knife-edge, coated with gold, is located in this image plane in close proximity to the beam, to provide a sharp cut-off as the beam is swept past its edge In accordance with aspects of the invention, a deflector plate structure provides an electromagnetic field whose geometry ensures that the velocity of a beam electron, as it exits the field, is substantially directly proportional to the undeflected beam electron's position vector relative to the beam cross-over in the image plane of the condenser lens Since the image plane of the condensing lens becomes the object plane for a final lens which forms the spot on a point on the resist, the above geometry substantially eliminates spot motion during the blanker rise time

Patent
Thomas M. Hall1
24 Aug 1981
TL;DR: In this paper, the ion species, always of atomic number greater than that of proton, are dictated by the observation that sensitivity unexpectedly increases at a greater rate than predictable on traditional bases.
Abstract: Ion beam lithography of particular interest in the fabrication of large-scale integrated circuits of unexpectedly increased throughput results from appropriate choice of (a) resist material and (b) ion species. Resist material, generally negative acting, is characterized by electron beam sensitivity inadequate for ordinary commercial electron beam lithography. The relevant characteristic responsible for inadequate electron beam sensitivity is the very characteristic responsible for enhanced ion sensitivity. Ion species, always of atomic number greater than that of proton, are dictated by the observation that sensitivity unexpectedly increases at a greater rate than predictable on traditional bases.

Journal ArticleDOI
R.K. Watts1, Wolfgang Fichtner, E.N. Fuls, L.R. Thibault, R.L. Johnston 
TL;DR: In this article, a multilevel resist structure together with two-dimensional process and device modeling and dry processing with reactive sputter etching have been employed to produce silicon-gate NMOS devices with micrometer and submicrometer channel lengths.
Abstract: Electron-beam lithography with a novel multilevel resist structure together with two-dimensional process and device modeling and dry processing with reactive sputter etching have been employed to produce silicon-gate NMOS devices with micrometer and submicrometer channel lengths. Results for transistors and ring oscillators are reported.

Journal ArticleDOI
TL;DR: In this article, a thoroughly dry lithography using plasma polymerization and plasma etching was described, which is referred to as vacuum lithography because all processes are performed at reduced pressures.
Abstract: The purpose of this paper is to describe a thoroughly dry lithography using plasma polymerization and plasma etching. The new lithography is named vacuum lithography because all processes are performed at reduced pressures. Resist films were formed in bell-jar-type and argon-flow-type reactors. The controllability of plasma polymerization is discussed with respect to the type of reactor and gas mixture. A pattern was delineated in the resist using an electron beam, and it was developed by plasma etching with a mixture of argon and oxygen. It was found that the quality of the plasma-polymerized resist depends strongly on the polymer structure and on the plasma etching conditions. In this experiment, the recorded values of sensitivity and γ value of plasma-polymerized methyl methacrylate were 700 µC/cm2 and 1, respectively.

Journal ArticleDOI
TL;DR: In this article, an electron beam lithography system designed for making structures with dimensions in the range 100 to 1000 A is described, where the beam diameter is 10 A and the maximum beam energy is 100 keV.
Abstract: An electron beam lithography system designed for making structures with dimensions in the range 100 to 1000 A is described. The beam diameter is 10 A and the maximum beam energy is 100 keV. The system is calibrated for resist exposure by measuring the beam size and current after magnifying it with projector lenses. The beam is deflected electromagnetically under computer control and vector scanning is used during lithography. The system has been used to make fine lines and grids with linewidths in the range 150 to 500 A. Relatively dense structures with large ratios of resist thickness to linewidth have been demonstrated on both thick and thin electron‐transparent, silicon substrates with the system operated at 50 keV. Results have been obtained on both single layer crosslinked resists and double layers of crosslinked resists and PMMA. It is concluded that finer lines and more densely packed structures over larger areas can be obtained on solid substrates using 100 keV beam energy.

Journal ArticleDOI
TL;DR: In this article, a variable thickness bridge is used as a Josephson junction in the one-junction interferometer to represent logical states, and the logic circuits have shown AND and OR logic functions.
Abstract: Josephson logic circuits using fluxoid quantization in a one‐junction interferometer to represent logical states have been fabricated from thin films of Nb by means of photolithography, electron beam lithography, and reactive ion etching. A variable thickness bridge is used as a Josephson junction in the one‐junction interferometer. The logic circuits have shown AND and OR logic functions.

Proceedings ArticleDOI
28 Jul 1981
TL;DR: Linewidth control using a tri-level resist system on wafers with topology is investigated in this paper, where an absorbing dye is incorporated in the bottom layer to improve the usable resolution.
Abstract: Linewidth control using a tri-level resist system on wafers with topology is investigated. An absorbing dye is incorporated in the bottom layer to improve the usable resolution. Resist patterns of 1 μm and 0.75 μm over underlying geometries are demonstrated using a projection aligner. The advantages of a multilevel system are investigated using an exposure and development simulation program for optical lithography. The relative contributions of planarization and reflection suppression are discussed.

Journal ArticleDOI
Peter Vettiger1, D.F. Moore, T. Forster
TL;DR: In this article, the edge-junction width of typically 0.3 µm was achieved by forming the Josephson junctions on the edges of the niobium base electrode without using submicrometer lithography.
Abstract: Superconducting interferometers containing up to nine lithographic levels were exposed by direct e-beam writing in a vectorscan (VS) system, The number and thickness of the layers presented a new challenge to e-beam and liftoff resist technology. A novel approach to level-to-level registration was required. In the edge-junction structure, the Josephson-junction width of typically 0.3 µm was achieved by forming the Josephson junctions on the edges of the niobium base electrode without using submicrometer lithography. Such Nb-oxide-Pb-alloy edge junctions are promising for logic and memory applications. The ruggedness of a niobium base electrode is combined with a high-current-density device having low capacitance by virtue of the small junction area.

Patent
11 Jun 1981
TL;DR: In a fabrication sequence for VLSI MOS devices, an advantageous alignment mark for a wafer to be directly processed by electron beam lithography is made of tantalum disilicide protected by a silicon nitride layer as mentioned in this paper.
Abstract: In a fabrication sequence for VLSI MOS devices, an advantageous alignment mark for a wafer to be directly processed by electron beam lithography is made of tantalum disilicide protected by a silicon nitride layer.

Journal ArticleDOI
TL;DR: In this article, a microlithographic pattern transfer technique that does not require resist development has been studied, which involves the diffusion of gaseous HF and water vapor through the exposed resist and the selective etching of the underlying SiO2.
Abstract: A new microlithographic pattern transfer technique that does not require resist development has been studied. The technique involves the diffusion of gaseous HF and water vapor through the exposed resist and the selective etching of the underlying SiO2. Etching is found to proceed only when the resist is in contact with the SiO2 underneath. The ratio of the etch rate of SiO2 beneath exposed and unexposed resist can be essentially infinity and this very high selectivity was used for etching high resolution SiO2 patterns without development. Variations of etch rate with substrate temperature (100° to 250°C), exposure dose (0−54 J/cm2 for UV, and 0−3.2 mC/cm2 for e‐beam at 20 keV) HF vapor flow and resist thickness (1600 to 4000 A) are presented. This new microlithographic etch process for patterning SiO2 does not require resist development and therefore reduces the three key steps in microlithography—exposure, development, and etching to two. As a result it eliminates the problems introduced during the deve...