scispace - formally typeset
Search or ask a question

Showing papers on "Physical design published in 1980"


Journal ArticleDOI
TL;DR: In this paper, a method for testing the logic function of complex digital integrated circuits is presented, which is based on an adapted version of signature analysis, and on circuit partitioning (the structure of VLSI circuits is assumed to be inherently modular).
Abstract: A method for testing the logic function of complex digital integrated circuits is presented. The extra hardware needed is kept minimal by functional conversion of already existing components (e.g., registers). The feasibility of the proposed method is demonstrated by results from both hardware simulation and logic simulation. The method is based on an adapted version of signature analysis, and on circuit partitioning (the structure of VLSI circuits is assumed to be inherently modular).

174 citations


01 Nov 1980
TL;DR: The major result presented in this dissertation is a polynomial time algorithm for a restricted case of the routing problem, which minimizes the area of a rectangle circumscribing the component and the wire paths.
Abstract: In this thesis, the problem of designing the layout of integrated circuits is examined. The layout of an integrated circuit specifies the position of the chip of functional components and wires interconnecting the components. We use a general model under which components are represented by rectangles, and wires are represented by lines. This model can be applied to circuit components defined at any level of complexity, from a transistor to a programmable logic array (PLA). We focus on the standard decomposition of the layout problem into a placement problem and a routing problem. We examine problems encountered in layout design from the point of view of complexity theory. The general layout problem under our model is shown to be NP-complete. In addition, two problems encountered in a restricted version of the routing problem --channel routing--are shown to be NP-complete. The analysis of heuristic algorithms for NP-complete problems is discussed, and the analysis of one common algorithm is presented. The major result presented in this dissertation is a polynomial time algorithm for a restricted case of the routing problem. Given one rectangular component with terminals on its boundary, and pairs of terminals to be connected, the algorithm will find a two-layer channel routing which minimizes the area of a rectangle circumscribing the component and the wire paths. Each terminal can appear in only one pair of terminals to be connected, and the rectangle used to determine the area must have its boundaries parallel to those of the component. If any of the conditions of the problem are removed, the algorithm is no longer guaranteed to find the optimal solution.

83 citations


Patent
11 Sep 1980
TL;DR: In this paper, a compact assembly of similar type integrated circuit packages having a functionally similar terminal lead in non-corresponding lead positions is used to re-route an electrical path from a terminal lead location on one integrated circuit package to a functionally different but differently located lead position on the other one.
Abstract: A compact assembly of similar type integrated circuit packages having a functionally similar terminal lead in non-corresponding lead positions Two interconnection packages, of a type similar to the integrated circuit packages, are nested between the integrated circuit packages to re-route an electrical path from a terminal lead location on one integrated circuit package to a functionally similar but differently located lead position on the other integrated circuit package

79 citations


Proceedings ArticleDOI
Wilm E. Donath1
23 Jun 1980
TL;DR: Complexity Theory is discussed and its relationship to Physical Design (i.e. Placement/Wiring) and Test Pattern Generation is shown and developed.
Abstract: Complexity Theory is discussed and its relationship to Physical Design (i.e. Placement/Wiring) and Test Pattern Generation is shown and developed.

75 citations


Journal ArticleDOI
TL;DR: A rapid and systematic method for performing chip layout of VLSI circuits is described, which utilizes the configuration of a matrix composed of intersecting rows and columns to provide transistor placement and interconnections.
Abstract: A rapid and systematic method for performing chip layout of VLSI circuits is described. This method utilizes the configuration of a matrix composed of interacting rows and columns to provide transistor placement and interconnections. This structure, which is orderly and regular, gives high device-packing density and allows ease of checking for layout errors. Resulting layouts may be updated to new design rules automatically. This method has been used in the layout of a 20 000-transistor section of a VLSI circuit.

74 citations


Patent
29 Dec 1980
TL;DR: In this paper, a process automatically generates topology data for fabricating large scale integrated circuits is described, where logic circuit components are merged with the prime level geometric topology to produce a grid array to be fabricated into a large-scale integrated circuit.
Abstract: A process automatically generating topology data for fabricating large scale integrated circuits. Technology data, a logic function description and logic circuit components are generated and input to a data processing system together with geometric dimension data descriptive of the basic elements of the logic circuit components. The geometric dimension data is assembled into a plurality of intermediate level geometric topology patterns under control of the logic function description and the intermediate level geometric topology patterns are assembled into a prime level geometric topology representative of the logic function description. The logic circuit components are merged with the prime level geometric topology to produce a grid array to be fabricated into a large scale integrated circuit.

58 citations


Journal ArticleDOI
TL;DR: In this paper, a current switch emitter follower (CSEF) circuit was applied to obtain an optimal statistical design, taking into consideration statistical distributions of circuit parameters and realistic correlations between transistor model parameters.
Abstract: A suggested test problem for proposed algorithms in yield optimization is described in detail. The problem is a current switch emitter follower (CSEF) circuit originally described by Ho, which includes a transmission line. The ideas presented in Part I of this paper [1] are applied to this circuit in order to obtain an optimal statistical design. Production yield is maximized taking into consideration statistical distributions of circuit parameters and realistic correlations between transistor model parameters. Nonlinear programming employing the analytical formuias for yield and its sensitivities is used to provide optimal nominal values for the circuit parameters. Different design specifications are assumed and corresponding optimal designs are obtained.

47 citations


Proceedings ArticleDOI
23 Jun 1980
TL;DR: The over-the-cell routing problem is defined, the algorithms for its solution are described, and typical routing results are presented.
Abstract: A program that produces single-layer planar routing over the cells for I2L and LST2L logic arrays is described. This router has been integrated into a layout system which was previously restricted to the layout of standard cell LSI chips. When used in conjunction with a channel router, the complete routing is produced automatically. This paper defines the over-the-cell routing problem, describes the algorithms for its solution, and presents typical routing results.

43 citations


Journal ArticleDOI
Martin S. Schmookler1
TL;DR: Methods of designing large Arithmetic and Logical Units using multiple Programmable Logic Array (PLA) macros in which the outputs are obtained in one cycle corresponding to one pass through any PLA are described.
Abstract: This paper describes methods of designing large Arithmetic and Logical Units (ALUs) using multiple Programmable Logic Array (PLA) macros in which the outputs are obtained in one cycle corresponding to one pass through any PLA. The design is based on the well-known technique of providing conditional sums and group carries in parallel and selecting the proper sum using gating circuits. The PLA for each group of bits uses an adder design published by Weinberger in which each bit of the sum is formed from the EXCLUSIVE-OR of two outputs of the OR array. By placing the gating circuits in front of the EXCLUSIVE-OR circuits, the sums can be obtained using two array outputs for each bit and one additional OR array output for each internal string of bits. Also discussed are how ALUs containing more than two groups can obtain the group carries using a separate carry-look-ahead PLA macro and how this macro can be compressed by using special decoders and special physical design layout techniques. Additionally, the paper demonstrates how the PLAs can be used to provide detection of overflow and of zero results, and to also provide Boolean operations.

36 citations


Proceedings ArticleDOI
23 Jun 1980
TL;DR: A new LSI artwork analysis and processing system, called EMAP, is described with algorithms, a database schema and applications that provide the designer with the artwork verification and processing tools which include mask artwork processing, geometrical design rule checking, connectivity analysis and electrical circuit parameter calculation.
Abstract: A new LSI artwork analysis and processing system, called EMAP, is described with algorithms, a database schema and applications. EMAP provides the designer with the artwork verification and processing tools which include mask artwork processing, geometrical design rule checking, connectivity analysis and electrical circuit parameter calculation. The circuit connectivity data derived from the mask artwork data is used for input to a logic simulator, a timing simulator, a circuit simulator and a circuit schematic generator.

35 citations


Proceedings ArticleDOI
16 Jun 1980
TL;DR: It is shown that using only thyristor data sheets and a popular, easy-to-use circuit simulation program (SPICE) readily available to the public one can perform thyristar circuit simulations as a design aid.
Abstract: It is shown that using only thyristor data sheets and a popular, easy-to-use circuit simulation program (SPICE) readily available to the public one can perform thyristor circuit simulations as a design aid.

01 May 1980
TL;DR: A Simple analog circuit designer has been implemented as a rule based system that can design voltage followers, Miller integrators, and bootstrap ramp generators from functional descriptions of what these circuits do.
Abstract: : A Simple analog circuit designer has been implemented as a rule based system. The system can design voltage followers, Miller integrators, and bootstrap ramp generators from functional descriptions of what these circuits do. While the designers works in a simple domain where all components are ideal, it demonstrates the abilities of skilled designers. While the domain is electronics, the design ideas are useful in many other engineering domains, such as mechanical engineering, chemical engineering, and numerical programming. Most circuit design systems are given the circuit schematic and use arithmetic constraints to select components values. This circuit designer is different because it designs the schematic. The designer uses a unidirectional CONTROL relation to find the schematic. The circuit designs are built around this relation; it restricts the search space, assigns purposes to components, and finds design bugs.


Journal ArticleDOI
J. Hurt1, C. Mohr
TL;DR: A software system used to aid hybrid circuit design, layout, and documentation is described, called HCAD, which resides on a mainframe engineering computer and is available to designers on a time-share basis via interactive graphics terminals.
Abstract: A software system used to aid hybrid circuit design, layout, and documentation is described. The intent of the programs is to address the design needs of a company that requires a considerable number of new custom hybrids each year. The system, called HCAD, resides on a mainframe engineering computer and is available to designers on a time-share basis via interactive graphics terminals. The system acts as a design aid for the user by handling difficult computations and by storing large amounts of data while leaving most of the creative tasks to the user.

Proceedings ArticleDOI
23 Jun 1980
TL;DR: A CAD program is described which checks the circuit topology and the electrical parameters from the IC layout data against the user supplied circuit descriptions and achieves an efficient analysis and does a clear presentation of the results.
Abstract: This paper describes a CAD program which checks the circuit topology and the electrical parameters from the IC layout data against the user supplied circuit descriptions. Taking advantage of the hierarchical characteristics of the layout data, the program achieves an efficient analysis and does a clear presentation of the results.

Journal ArticleDOI
TL;DR: A circuit design approach is presented that restores the cell to a full V/SUB DD/ `1' level, preamplifies the initial charge imbalance before sensing by conventional techniques, and is used in the Fairchild 64K design.
Abstract: Increasing dynamic RAM cell density and the use of a single low-voltage power supply have made it mandatory to store the full power supply voltage in the cell and to be able to detect smaller signals reliably with the initial sense amplifier. The authors present a circuit design approach that restores the cell to a full V/SUB DD/ `1' level, preamplifies the initial charge imbalance before sensing by conventional techniques, and is used in the Fairchild 64K design. Design requirements and a detailed analysis of the amplifier are presented along with simulated results, followed by performance data. The circuit analysis shows how the key design parameters should be chosen and the effects of clock timing variations on the performance of the sense amplifier.

Dissertation
01 Jan 1980
TL;DR: This thesis proposes models which may be used to construct unified and consistent descriptions of the structural, physical and behavoural attributes of a design and discusses a method of capturing these descriptions using a textual representation embedded in an object oriented programming language.
Abstract: Traditional design tools based on geometric representations do not provide an adequate base from which to construct and verify silicon implementations of complex systems. More comprehensive structural, physical and behavioural descriptions must be developed from appropriate representations. This thesis proposes models which may be used to construct unified and consistent descriptions of the structural, physical and behavoural attributes of a design. It also discusses a method of capturing these descriptions using a textual representation embedded in an object oriented programming language. A range of subsytems have been implemented within a design environment tailored to the proposed models of the design activitiy. In addition to the typical graphical feedback and mask making oriented output a comprehensibe list of verificaiton procedures has been integrated into the system. These include dimensional design rule checking, electrical calculations, connectivity verification and simulation at a number of levels of abstraction.

Proceedings ArticleDOI
28 May 1980
TL;DR: The design of GaAs monolithically integrated circuits in the RF and low microwave frequency range is a process resembling low frequency IC design more than microwave circuit design.
Abstract: The design of GaAs monolithically integrated circuits in the RF and low microwave frequency range is a process resembling low frequency IC design more than microwave circuit design. These GaAs circuits are directly coupled on-chip in a high-impedance environment. Since tuning and matching elements are not used, the traditional microwave CAD technique of computer optimizing passive element values is not required. Rather, the task of the GaAs IC designer is to predict with computer simulation what the performance of a proposed circuit design will be, then iterate as necessary to achieve the required results.

Journal ArticleDOI
TL;DR: Some of the main ideas underlying the theory, fabrication, and operation of integrated circuits are introduced and how logically useful functions derived from the balancing and unbalancing of electric charge in tiny semiconductor-based structures are shown.
Abstract: The microprocessor's rapid development and its appearance in many Dew theaters of application are bringing computer scientists and programming specialists increasingly into contact with new and largely unfamiliar products of integrated circuit technology. This tutorial paper introduces some of the main ideas underlying the theory, fabrication, and operation of integrated circuits and shows how logically useful functions ~ e derived from the balancing and unbalancing of electric charge in tiny semiconductor-based structures.



Proceedings ArticleDOI
23 Jun 1980
TL;DR: A new production approach to IC mask layout/checking is described which makes use of a combination of symbolic layout, computer checking and color graphics to resolve some of the problems in currently available layout systems.
Abstract: A new production approach to IC mask layout/checking is described which makes use of a combination of symbolic layout, computer checking and color graphics to resolve some of the problems in currently available layout systems. Techniques such as on-line design rule and connectivity checking, not found in other production systems, give the user "instant" feedback as he is designing.

Proceedings ArticleDOI
01 Jan 1980
TL;DR: The computer-aided design segment of the IC industry has had some success with tools to help with the LSI design problem, but as VLSI structures become a reality, new magnitudes of CAD tools will be needed.
Abstract: The computer-aided design segment of the IC industry has had some success with tools to help with the LSI design problem. Particularly, circuit and logic simulation, layout graphics, and design rule checking have been very useful. Other areas, such as testing and modeling, continue to present challenges at the LSI level. As VLSI structures become a reality, new magnitudes of CAD tools will be needed. Can existing tools be scaled to solve the problem or will new approaches be required?

Proceedings ArticleDOI
Bernard Hennion1, Guy Mazare1
01 Sep 1980
TL;DR: The particularities of CASSIOPEE, a prototype under development at CNET-GRENOBLE, are described, of its realization, and of the timing simulator which is its first running component.
Abstract: After having first introduced what is an integrated CAD system and the functions it has to perform, this paper describes the particularities of CASSIOPEE, a prototype under development at CNET-GRENOBLE, of its realization, and of the timing simulator which is its first running component.

Proceedings ArticleDOI
01 Sep 1980
TL;DR: TRICKY as discussed by the authors is an aid for the layout step of the integrated circuits design starting from a symbolic sketch it automatically computes the accurate and compacted layout of the corresponding masks.
Abstract: TRICKY is an aid for the layout step of the integrated circuits design Starting from a symbolic sketch it automatically computes the accurate and compacted layout of the corresponding masks

Journal ArticleDOI
Sequin1
TL;DR: This course prepares for the design of next-generation computers that will employ a building-block approach reflecting the interdependence of system architecture and IC design.
Abstract: Designers of next-generation computers will employ a building-block approach reflecting the interdependence of system architecture and IC design. This course prepares for that approach.

Proceedings ArticleDOI
23 Jun 1980
TL;DR: The primary focus of design automation for VLSI in the 80's will not be the development of sophisticated new design tools, but rather thedevelopment of design methodologies which can utilize effectively 108 transistors/chip.
Abstract: The primary focus of design automation for VLSI in the 80's will not be the development of sophisticated new design tools, but rather the development of design methodologies which can utilize effectively 108 transistors/chip. Computer aids will be developed to support the management of these design methodologies, both for relatively simple synthesis tasks as well as the verification of circuits as they are generated.At the present time it is not clear which design methodology will be the most successful for any particular class of applications of VLSI. For this reason, design systems should be developed in a flexible manner to allow easy reconfiguration as new approaches to the design process emerge. The structure of one such system is shown in Fig. 1. In this approach the design tools are applied to the hierarchical data base under the control of a supervisory program, or shell, which can be reconfigured and adapt the system as new tools are added, or as the data base and/or representations of the design are altered. In this way, the designer observes the minimum perturbation as the system is updated.

DOI
01 Jan 1980
TL;DR: This paper introduces a new approach, in which layers are converted into digitised pictorial form and processed by simple hardware operating like a computer peripheral, which reduces the total amount of c.p.u. time required for constraint checking.
Abstract: The checking of geometrical constraints on integrated-circuit layouts is notoriously slow and costly when carried out purely by software. This paper introduces a new approach, in which layers are converted into digitised pictorial form and processed by simple hardware operating like a computer peripheral. This hardware reports to the computer all cases where constraints may be violated, and these cases are investigated in detail purely by software. The advantage of this approach is the reduction in the total amount of c.p.u. time required for constraint checking.


Journal ArticleDOI
TL;DR: The purpose of this paper is to review the functional and interface requirements, as well as circuit and technology alternatives for integrated circuit codecs, filters, and line interfaces.
Abstract: Technological advances make exploitation of the most advanced ideas in telecommunications systems, economically feasible. The purpose of this paper is to review the functional and interface requirements, as well as circuit and technology alternatives for integrated circuit codecs, filters, and line interfaces.