scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science and Technology in 1998"


Journal ArticleDOI
TL;DR: In this paper, the foundations of a new technology for surface modification of metallic materials based on the use of original sources of low-energy, high-current electron beams are discussed.
Abstract: This article concerns the foundations of a new technology for surface modification of metallic materials based on the use of original sources of low-energy, high-current electron beams. The sources contain an electron gun with an explosive-emission cathode and a plasma anode, placed in a guide magnetic field. The acceleration gap and the transportation channel are prefilled with plasma with the use of spark plasma sources or a low-pressure reflected discharge. The electron-beam sources produce electron beams with the parameters as follows: electron energy 10–40 keV; pulse duration 0.5–5 μs; energy density 0.5–40 J/cm2, and beam cross-section area 10–50 cm2. They are simple and reliable in operation. Investigations performed with a variety of constructional and tool materials (steels, aluminum and titanium alloys, hard alloys) have shown that the most pronounced changes of the structure-phase state occur in the near-surface layers quenched from the liquid state, where the crystallization front velocity rea...

315 citations


Journal ArticleDOI
TL;DR: In this paper, the performance of silicon compounds (SiO2 and Si3N4) on 13 μm polyethylene terephthalate (PET) substrates, the barrier coatings being deposited in a dualfrequency (microwave/radio frequency) pilot-scale PECVD reactor for continuously moving flexible webs up to 30 cm in width.
Abstract: Transparent barrier coatings on polymers are receiving much attention in industry, for pharmaceutical, food and beverage packaging applications. Plasma-enhanced chemical vapor deposition (PECVD) is among several competing techniques which can produce thin layers of inorganic glassy barrier materials. In this article we describe the performance of silicon compounds (SiO2 and Si3N4) on 13 μm polyethylene terephthalate (PET) substrates, the barrier coatings being deposited in a dual-frequency (microwave/radio frequency) pilot-scale PECVD reactor for continuously moving flexible webs up to 30 cm in width. The volatile silicon compound used for SiO2 deposition is HMDSO (C6H18Si2O), while SiH4 serves to deposit Si3N4. Coating thicknesses, d, in the range 8 nm⩽d⩽200 nm, are measured using a variety of techniques, namely stylus profilometry, continuous wavelength optical interferometry, x-ray fluorescence, variable angle spectroscopic ellipsometry, and transmission electron microscopy, while film compositions are...

289 citations


Journal ArticleDOI
TL;DR: In this article, the effects of substrate temperature on the properties of ZnO films were investigated and the crystalline, electrical and optical properties of the films were found to depend directly on substrate temperature during deposition.
Abstract: Highly conductive and transparent aluminum- and gallium-doped zinc oxide (ZnO:Al and ZnO:Ga) thin films in place of indium tin oxide films have been prepared by using XeCl excimer laser ablation at relatively low temperatures. The impurity content of Al or Ga in the ZnO target was optimized on the basis of the measurements of resistivity, carrier concentration, and Hall mobility of the deposited transparent conducting ZnO films. The effects of substrate temperature on the properties of ZnO films were investigated. The crystalline, electrical and optical properties of the films were found to depend directly on substrate temperature during deposition. The minimum resistivity of 1.4×10−4 Ω cm was obtained for the ZnO:Al film prepared at a substrate temperature of 300 °C using a ZnO target with an Al2O3 content of 1% by weight (wt %). Moreover, the ZnO:Al film prepared at a substrate temperature of 100 °C showed a low resistivity value of 2.5×10−4 Ω cm. As for the ZnO:Ga film, on the other hand, the minimum r...

247 citations


Journal ArticleDOI
TL;DR: In this paper, a model is developed that describes the etch kinetics through a fluorocarbon layer based on a fluorine diffusion transport mechanism, which is consistent with the data when one or two of the fol...
Abstract: For various fluorocarbon processing chemistries in an inductively coupled plasma reactor, we have observed relatively thick (2–7 nm) fluorocarbon layers that exist on the surface during steady state etching of silicon. In steady state, the etch rate and the surface modifications of silicon do not change as a function of time. The surface modifications were characterized by in situ ellipsometry and x-ray photoelectron spectroscopy. The contribution of direct ion impact on the silicon substrate to the etching mechanism is reduced with increasing fluorocarbon layer thickness. Therefore, we consider that the silicon etch rate is controlled by a neutral etchant flux through the layer. Our experimental data show, however, that ions play an import role in the transport of silicon etching precursors through the layer. A model is developed that describes the etch kinetics through a fluorocarbon layer based on a fluorine diffusion transport mechanism. The model is consistent with the data when one or two of the fol...

223 citations


Journal ArticleDOI
TL;DR: In this paper, the surface reaction probability of an atom or a radical in a reactive gas discharge, its diffusive flux to the wall, spatial density profile and temporal density decay during the postdischarge, are examined.
Abstract: The relations between the surface reaction probability β of an atom or a radical in a reactive gas discharge, its diffusive flux to the wall, spatial density profile and temporal density decay during the postdischarge, are examined. Then, the values of β for H, SiH3, and Si2H5 on a growing a-Si:H film, and CH3 and C2H5 on an a-C:H film are derived from the temporal decay of radical densities during the discharge afterglow by using time-resolved threshold ionization mass spectrometry. For SiH3 on a-Si:H, β=0.28±0.03 in excellent agreement with previous determinations using other experimental approaches, and for Si2H5, 0.1<β<0.3. For H on a-Si:H, 0.4<β<1 and mostly consists of surface recombination as H2, while the etching probability of Si as SiH4 is only e≈0.03 at 350 K in good agreement with other studies of H reaction kinetics on crystalline silicon. At high dilution of SiH4 in H2 the sticking probabilities of Si hydride radicals are affected by the flux of H atoms of hydrogen ions which enhances surfac...

214 citations


Journal ArticleDOI
TL;DR: In this article, a list of gas-phase and surface reactions has been compiled for modeling plasma-enhanced chemical vapor deposition of SiO2 from SiH4, O2, and Ar gas mixtures in high-density-plasma reactors.
Abstract: High-density-plasma deposition of SiO2 is an important process in integrated circuit manufacturing A list of gas-phase and surface reactions has been compiled for modeling plasma-enhanced chemical vapor deposition of SiO2 from SiH4, O2, and Ar gas mixtures in high-density-plasma reactors The gas-phase reactions include electron impact, neutral–neutral, ion–ion, and ion–neutral reactions The surface reactions and deposition mechanism is based on insights gained from attenuated total reflection Fourier transform infrared spectroscopy experiments and includes radical adsorption onto the SiO2 surface, ion-enhanced desorption from the surface layer, radical abstractions, as well as direct ion-energy-dependent sputtering of the oxide film A well-mixed reactor model that consists of mass and energy conservation equations averaged across the reactor volume was used to model three different kinds of high-density plasma deposition chambers Experimental measurements of total ion densities, relative radical dens

193 citations


Journal ArticleDOI
TL;DR: In this article, the effects of CdCl2 processing on the physical and electro-optical properties of thin CdTe were evaluated for thin films produced by physical vapor deposition and close-spaced sublimation (CSS).
Abstract: The effects of CdCl2 processing on the physical and electro-optical properties of CdTe were evaluated for thin films produced by physical vapor deposition and close-spaced sublimation (CSS). Two substrates (CdS and Indium–tin–oxide) were used with the physical vapor deposition (PVD) films specifically to isolate the effects of the Cd(SxTe1−x) alloy formed during the treatment of films deposited on CdS. The samples were analyzed by x-ray diffraction (XRD), atomic force microscopy (AFM), and photoluminescence. The observed changes in microstructure were caused by recrystallization, which consisted of the nucleation and development of a new CdTe structure and subsequent grain growth. Nevertheless, for these processes to take place, it was necessary that enough lattice-strain energy was available in the films. For this reason, PVD films did recrystallize, while CSS films did not. For the first time, recrystallization was observed directly in AFM images of CdTe films and confirmed by XRD analysis, which indica...

190 citations


Journal ArticleDOI
TL;DR: In this paper, the influence of the deposition parameters (temperature, total pressure and NH3/SiH4 gaseous ratio) on the film deposition rate, refractive index, stoichiometry and thermomechanical stress are investigated and correlated.
Abstract: Varied SiNx films have been deposited by low pressure chemical vapor deposition from silane SiH4 and ammonia NH3 and the influences of the deposition parameters (temperature, total pressure and NH3/SiH4 gaseous ratio) on the film deposition rate, refractive index (assessed at a 830 nm wavelength), stoichiometry and thermomechanical stress are investigated and correlated. Low stress (≈600 MPa) Si3N4 films are obtained for the highest deposition temperature and the lowest total pressure but the gaseous ratio is shown to be the dominant parameter. According to the SiNx stoichiometry, silicon-rich silicon nitride and nitrogen-doped silicon (called NIDOS) depositions are obtained and compressive to tensile stresses are reported. A maximum in compressive stress is put into evidence for N/Si ratio roughly equal to 0.7 and is related to the cumulated effects of silicon nitridation and crystallization, characterizing the transition between nitrogen-doped silicon and silicon-rich silicon nitride. Finally, by consid...

187 citations


Journal ArticleDOI
TL;DR: In this article, electron attachment mass spectrometry (EAMS) was used to detect polymers in radio frequency fluorocarbon plasmas of CF4, C2F6, and C4F8.
Abstract: Polymerization reactions in radio frequency fluorocarbon plasmas of CF4, C2F6, and C4F8 have been studied by electron attachment mass spectrometry (EAMS). In these plasmas polymerization occurs readily and molecules containing up to ten carbon atoms (the mass limit of the mass spectrometer) have been found. The densities of large polymers increase with increasing size of the parent gas. In a fluorine-rich environment like a CF4 plasma the detected polymers are mainly fully saturated with F (CnF2n+2). As the amount of fluorine in the parent gas decreases, also the degree of saturation of the polymers decreases, which is clearly seen in C2F6 and C4F8 plasmas. The unsaturated polymers are more reactive, so they can stick more easily to surfaces and possibly create thick polymer films, which are often observed after discharge operation. The polymerization rate depends on the chemical activity of the plasma, which can be easily enhanced by increasing the radio frequency power. The positive ions, extracted from...

155 citations


Journal ArticleDOI
TL;DR: In this article, hydrogen-induced changes on the electronic and structural properties of amorphous carbon nitride (a-CNx:H) prepared by ion beam assisted deposition are investigated by x-ray photoelectron, ultraviolet photoelectRON, infrared, and Raman spectroscopies.
Abstract: Hydrogen-induced changes on the electronic and structural properties of amorphous carbon nitride (a-CNx:H) prepared by ion beam assisted deposition are investigated by x-ray photoelectron, ultraviolet photoelectron, infrared, and Raman spectroscopies. Two series of specimen are studied: films with a constant nitrogen content (C/N=26%) grown at 150 °C using different hydrogen partial pressures between 0% and 70% and samples deposited at different substrate temperatures (150–500 °C) with fixed H2 partial pressure of 60%. The pronounced changes of the N 1s and C 1s core level spectra on increasing hydrogen incorporation (up to 17 at. %) are interpreted as due to the formation of terminating NH and CH bonds accompanied by modifications of the local C–N bonding structure. Corresponding changes are observed in the He II valence band spectra showing a recession of the leading edge of more than 0.9 eV while the optical band gap widens from 0 to more than 1 eV. Consistent with these results, the information obtain...

152 citations


Journal ArticleDOI
TL;DR: In this article, a structure zone model (SZM) relating to the closed-field unbalanced magnetron sputtering (CFUBMS) process has been developed, in which coating structures are described in terms of homologous temperature, bias voltage and the ion-to-atom ratio incident at the substrate.
Abstract: It is well established that the microstructure of a thin film strongly influences its physical and chemical properties. Microstructure, in turn, is determined by a number of deposition and process parameters which control the energy delivered to the growing film. The closed-field unbalanced magnetron sputtering (CFUBMS) process has now been developed to the stage where it can be routinely used to deposit very high quality, well adhered coatings of a wide range of metals and ceramics. A key factor in the success of this process is the ability to transport large ion currents to the substrate. This can enhance the formation of fully dense coating structures at relatively low homologous temperatures, compared to other sputtering systems. The importance of microstructure on the performance of a coating has led to the development of models designed to describe coating structure in terms of specific deposition parameters. Several such structure zone models (SZMs) relating to various physical vapor deposition (PVD) processes have been published. However, because of the advantages of operating in the CFUBMS mode, the structure of coatings deposited in this mode do not conform to those predicted by existing SZMs relating to other PVD processes. Also, in most existing SZMs, the final coating structure is described in terms of the homologous temperature of the coating and one other parameter which attempts to describe the additional influence on the structure of the simultaneous ion bombardment of the growing film. Several parameters have been used to fill this role including coating pressure, substrate bias voltage, and an energy parameter defined as the average energy carried by the arriving ions per condensing atom. However, other studies have shown that ion energy and ion flux are fundamental parameters in ion-assisted PVD processes and their effects must be considered separately when describing coating structures. A detailed investigation has now been carried out into the CFUBMS process. As a result of this, a SZM relating to the CFUBMS system has been developed, in which coating structures are described in terms of homologous temperature, bias voltage and the ion-to-atom ratio incident at the substrate. This is a novel model which allows the influence of ion flux and ion energy to be considered separately. This study has also highlighted a number of other characteristics of the CFUBMS system. For example, both ion current density and deposition rate are directly proportional to the target current, although their coefficients of proportionality differ. Deposition rate decreases more rapidly with increasing substrate-to-target separation than ion current. Consequently the ion-to-atom ratio incident at the substrate increases with separation. Indeed, with magnetrons of fixed magnetic configuration, in order to increase the ion-to-atom ratio for any set of deposition parameters, it is necessary to increase the substrate-to-target separation.

Journal ArticleDOI
TL;DR: Chlorine atom recombination coefficient (γCl) measurements for a variety of surfaces and at a range of surface temperatures were reported in this paper, where the incident and reflected beam compositions calculated using a modulated beam mass spectrometer were used to infer the recombination coefficients.
Abstract: Chlorine atom recombination coefficient (γCl) measurements are reported for a variety of surfaces and at a range of surface temperatures. The surfaces include crystalline silicon, quartz, anodized aluminum, tungsten, stainless steel, polycrystalline silicon, and photoresist. Surface temperatures ranged from about −90 °C up to 85 °C. Measurements were made in a vacuum chamber with chlorine atoms and molecules effusing from an external discharge source as a molecular beam and impacting a selected surface. The incident and reflected beam compositions calculated using a modulated beam mass spectrometer were used to infer the recombination coefficient. At room temperature, the values of γCl ranged from below the detection sensitivity (about 0.01) for crystalline silicon to ∼0.85 for stainless steel. Other surfaces displayed intermediate values between these extremes. For example, γCl for polycrystalline silicon is about 0.2–0.3 at room temperature. All surfaces, except stainless steel, displayed increasing val...

Journal ArticleDOI
TL;DR: In this paper, a low-energy dc plasma enhanced chemical vapor deposition (LDPCVD) was applied to Si homoepitaxy at substrate temperatures between 400 and 600°C and growth rates between 0.1 and 1 nm/s.
Abstract: A new technique for semiconductor epitaxy at low substrate temperatures is presented, called low-energy dc plasma enhanced chemical vapor deposition. The method has been applied to Si homoepitaxy at substrate temperatures between 400 and 600 °C and growth rates between 0.1 and 1 nm/s, using silane as the reactive gas. The quality of the Si films has been examined by reflection high-energy electron diffraction, scanning tunneling microscopy, cross-section transmission electron microscopy, and high-resolution x-ray diffraction. Two effects have been identified to lead to the formation of stacking faults after an initial layer of defect-free growth: (1) substrate bombardment by ions with energies in excess of 15 eV, and (2) hydrogen adsorption limiting the surface mobility of Si atoms and silane radicals. Both result in the accumulation of surface roughness, facilitating the nucleation of stacking faults when the roughness reaches a critical level. Defect introduction can be eliminated effectively by biasing the substrate during growth and by decreasing the hydrogen coverage, either by admixing small amounts of germane to the silane or by using a sufficiently high plasma density.

Journal ArticleDOI
TL;DR: A parametric study of the etching characteristics of 6H p+ and n+ SiC and thin-film SiC 0.5N0.5 in inductively coupled plasma (ICP) NF3/O2 and NF3 /Ar discharges has been performed as mentioned in this paper, where the etch rates in both chemistries increase monotonically with NF3 percentage and rf chuck power.
Abstract: A parametric study of the etching characteristics of 6H p+ and n+ SiC and thin-film SiC0.5N0.5 in inductively coupled plasma (ICP) NF3/O2 and NF3/Ar discharges has been performed. The etch rates in both chemistries increase monotonically with NF3 percentage and rf chuck power. The etch rates go through a maximum with increasing ICP source power, which is explained by a trade-off between the increasing ion flux and the decreasing ion energy. The anisotropy of the etched features is also a function of ion flux, ion energy and atomic fluorine neutral concentration. Indium-tin-oxide masks display relatively good etch selectivity over SiC (maximum of ∌70:1), while photoresist etches more rapidly than SiC. The surface roughness of SiC is essentially independent of plasma composition for NF3/O2 discharges, while extensive surface degradation occurs for SiCN under high NF3:O2 conditions. © 1998 American Vacuum Society.

Journal ArticleDOI
TL;DR: In situ attenuated total reflection Fourier transform infrared spectroscopy was used to study the H bonding on the surfaces of a-Si:H and nc-Si-H during plasma enhanced chemical vapor deposition from SiH4/H2/Ar containing discharges as discussed by the authors.
Abstract: In situ attenuated total reflection Fourier transform infrared spectroscopy was used to study the H bonding on the surfaces of a-Si:H and nc-Si:H during plasma enhanced chemical vapor deposition from SiH4/H2/Ar containing discharges. Well-resolved SiHx (1⩽x⩽3) absorption lines that correspond to the vibrational frequencies commonly associated with surface silicon hydrides were detected. During deposition of a-Si:H films using SiH4 without H2 dilution, the surface coverage was primarily di- and trihydrides, and there are very few dangling bonds on the surface. In contrast, during deposition of nc-Si:H using SiH4 diluted with H2, the amount of di- and trihydrides on the surface is drastically reduced and monohydrides dominate the surface. Furthermore, the vibrational frequencies of the monohydrides on nc-Si:H film surfaces match well with the resonant frequencies of monohydrides on H terminated Si (111) and Si (100) surfaces. The decrease of higher hydrides on the surface upon H2 dilution is attributed to i...

Journal ArticleDOI
TL;DR: In this paper, a comparison of the different characteristic features associated with the AlN films deposited by pulsed laser deposition or magnetron sputtering is presented with particular emphasis to electronic and tribological applications.
Abstract: Aluminum nitride films have been deposited on Si(111) substrates at different substrate temperatures using two techniques; pulsed laser deposition or reactive magnetron sputtering. The films deposited by either of the techniques have been characterized by x-ray diffraction and transmission electron microscopy to determine the crystalline quality, grain size, and epitaxial growth relation with respect to the substrate. The bonding characteristics and the residual stresses present in the films have been evaluated using Raman and Fourier transform infrared spectroscopy. Secondary ion mass spectrometry has been performed to determine the nitrogen stoichiometry and the presence of impurities such as oxygen and silicon. The adhesion strength of the AlN films to the silicon substrate and the wear resistance have been determined by scratch test and a specially designed microscopic wear test. A comparison of the different characteristic features associated with the AlN films deposited by pulsed laser deposition or magnetron sputtering is presented with particular emphasis to electronic and tribological applications.

Journal ArticleDOI
TL;DR: Lauinger et al. as discussed by the authors showed that low effective surface recombination velocities Seff of 4 cm/s have been obtained at ISFH on low resistivity p-type crystalline silicon using microwave-excited remote plasmaenhanced chemical vapor deposition (RPECVD) of silicon nitride at low temperature (300-400
Abstract: In a recent letter [Lauinger et al., Appl. Phys. Lett. 68, 1232 (1996)] we have shown that record low effective surface recombination velocities Seff of 4 cm/s have been obtained at ISFH on low-resistivity (1 Ω cm) p-type crystalline silicon using microwave-excited remote plasma-enhanced chemical vapor deposition (RPECVD) of silicon nitride at low temperature (300–400 °C). As an important application, this technique allows a simple fabrication of rear-passivated high-efficiency silicon solar cells with monofacial or bifacial sensitivity. In this work, we present details of the required optimization of the PECVD parameters and a characterization of the resulting silicon nitride films. All deposition parameters are shown to strongly affect Seff as well as the stability of the films against the ultraviolet (UV) photons of terrestrial sunlight. A clear correlation between Seff and the film stoichiometry is observed, allowing a simple control and even a rough optimization of the surface passivation quality by ...

Journal ArticleDOI
TL;DR: In this paper, the authors used plan-view and cross-sectional transmission electron microscopy (TEM) images and X-ray diffraction (X2-2θ scans and pole figures) combined with plan view and CEM images to obtain a columnar microstructure with an average column width near the film surface of 30±5 nm.
Abstract: ScN layers, 180 nm thick, were grown on MgO(001) substrates at 750 °C by ultra-high-vacuum reactive magnetron sputter deposition in pure N2 discharges. N/Sc ratios, determined by Rutherford backscattering spectroscopy, were 0.98±0.02. X-ray diffraction θ–2θ scans and pole figures combined with plan-view and cross-sectional transmission electron microscopy showed that the films are strongly textured, both in plane and along the growth direction, and have a columnar microstructure with an average column width near the film surface of 30±5 nm. During nucleation and the early stages of film growth, the layers consist of approximately equal volume fractions of 002- and 111-oriented grains. However, preferred orientation evolves toward a purely 111 texture within ≃40 nm as the 002 grains grow out of existence in a kinetically limited competitive growth mode. 002 grains exhibit local cube-on-cube epitaxy with an orientation relationship (001)ScN∥(001)MgO and [010]ScN∥[010]MgO while 111 grains have a complex four...

Journal ArticleDOI
TL;DR: In this article, the authors used scanning tunneling microscopy (STM), Fourier transform infrared spectroscopy and semi-empirical quantum cluster calculations to investigate the chemisorption of benzene on the Si(100)(2×1) surface.
Abstract: Scanning tunneling microscopy (STM), Fourier-transform infrared spectroscopy and semiempirical quantum cluster calculations have been used to investigate the chemisorption of benzene on the Si(100)(2×1) surface. Room temperature adsorption results in the occupation of multiple bonding configurations including on-top of a single Si dimer and two different bridging geometries involving interaction with two Si dimers. While the single dimer state is populated preferentially upon adsorption, it is observed to be metastable with respect to the bridging configuration. The single dimer to bridge conversion is activated, with a barrier of 0.94 eV. The single dimer geometry can be repopulated via a process assisted by the STM tip.

Journal ArticleDOI
TL;DR: The interaction of oxygen with the ordered Ni3Al (111) surface has been investigated in the temperature range from 300 to 1000 K using high-resolution electron-energy-loss spectroscopy (HREELS) and low-energy electron diffraction (LEED) as mentioned in this paper.
Abstract: The interaction of oxygen with the ordered Ni3Al (111) surface has been investigated in the temperature range from 300 to 1000 K using high-resolution electron-energy-loss spectroscopy (HREELS) and low-energy electron diffraction (LEED). The “2×2” LEED pattern of the clean Ni3Al (111) surface indicates a bulklike termination. After oxygen adsorption at 300 K the LEED pattern is diffuse suggesting the formation of an amorphous overlayer. The HREELS spectra show evidence for oxygen interaction with both aluminum and nickel atoms. At 600 K adsorption temperature the fcc surface order is restored, however, the observed (1×1) LEED pattern indicates the loss of chemical order. Again HREELS spectra suggest interaction of oxygen with both aluminum and nickel. For an adsorption temperature of 800 K LEED shows an unrotated oxygen induced superstructure with a lattice spacing of 2.93 A in addition to the (1×1) substrate spots. The HREELS spectra exhibit an intense loss at 81.9 meV, which is also known from oxygen in...

Journal ArticleDOI
TL;DR: In this paper, the ion-enhanced etching yield was a strong function of the neutral-to-ion flux ratio, and scaled linearly with the square root of the ion energy.
Abstract: Chlorine-based plasma etching of polysilicon was characterized as a function of the impinging Cl+, Cl–to–Cl+ flux ratio, ion bombardment energy, ion bombardment angle, and the flux of etching by-products (SiCl2) using a multiple beam scattering apparatus. The ion-enhanced etching yield was a strong function of the neutral-to-ion flux ratio, and scaled linearly with the square root of the ion energy. The ion-enhanced etching yield was independent of the ion bombardment angle at near normal ion incidence angles, but decreased almost linearly above 40° off-normal angles. The presence of SiCl2 greatly suppressed the etching of polysilicon by either Cl+ or Cl+ with Cl. A Monte Carlo based profile simulator was constructed which incorporated the dominant reaction mechanisms of surface chlorination under ion bombardment, surface re-emission, and ion reflection. The profile evolution of patterned samples etched by Cl and Cl+ beams were simulated. Quantitatively good agreement was found between the simulated profi...

Journal ArticleDOI
TL;DR: In this article, the influence of the wall temperature on the performance of high density fluorocarbon plasma etch processes has been studied, and it was shown that adequate temperature control can increase oxide etch selectivity over nitride and silicon.
Abstract: The influence of reactor wall conditions on the characteristics of high density fluorocarbon plasma etch processes has been studied. Results obtained during the etching of oxide, nitride, and silicon in an inductively coupled plasma source fed with various feedgases, such as CHF3, C3F6, and C3F6/H2, indicate that the reactor wall temperature is an important parameter in the etch process. Adequate temperature control can increase oxide etch selectivity over nitride and silicon. The loss of fluorocarbon species from the plasma to the walls is reduced as the wall temperature increased. The fluorocarbon deposition on a cooled substrate surface increases concomitantly, resulting in a more efficient suppression of silicon and nitride etch rates, whereas oxide etch rates remain nearly constant.

Journal ArticleDOI
TL;DR: In this paper, the structures of CF4 radio-frequency discharge between parallel electrodes are clarified by the use of the particle-in-cell/Monte Carlo method, which is performed based on the most reliable collision data.
Abstract: The structures of the CF4 radio-frequency discharge between parallel electrodes are clarified by the use of the particle-in-cell/Monte Carlo method. The simulation is performed based on the most reliable collision data, i.e., detailed cross-section data for electron–CF4 collision, measured rate for positive–negative ion recombination, and the newly developed ion–CF4 collision model for endothermic reactions. Reactive collisions between positive ions (especially CF3+) and CF4 molecules are found to be important. The major loss process of negative ions is the recombination with positive ions. It is also found that the discharge sustaining mechanism is the ionization, not the electron detachment from negative ions.

Journal ArticleDOI
TL;DR: In this article, polycrystalline CrN/TiN superlattice films were deposited on M1 tool steel using unbalanced reactive magnetron sputtering with opposed cathodes.
Abstract: Polycrystalline CrN/TiN superlattice films were deposited on M1 tool steel using unbalanced reactive magnetron sputtering with opposed cathodes. The Cr and Ti targets were sputtered in Ar–N2 mixtures with partial pressure control of the N2. As the N2 partial pressure was increased from 0.1 to 1.1 mTorr, TiNx films went from stoichiometric B1-cubic TiN to slightly overstoichiometric TiN, while CrNx films went from cubic Cr–N solid solutions to hexagonal Cr2N to B1-cubic CrN. Since the N2 partial pressure required to form stoichiometric CrN was ≈10 times that required to form stoichiometric TiN, nitrogen was inlet at the Cr target position to maximize the difference in N2 partial pressures. Two series of CrN/TiN superlattices, with TiN fractions of 0.4 and 0.6, were deposited with periods ranging from 2 to 60 nm. X-ray diffraction showed a very strong (111) texture with first-order satellite peaks around the (111) Bragg peak. Kinematical diffraction simulations of the superlattice x-ray patterns indicated a...

Journal ArticleDOI
TL;DR: In this paper, the activation temperature of stainless steel vacuum chambers, ex situ sputter coated with a thin film of getter material, has been studied to determine if after air exposure the getter film could be activated by a bakeout so as to transform the coated vacuum chamber into a pump.
Abstract: The vacuum behavior of stainless steel vacuum chambers, ex situ sputter coated with a thin film (∼1 μm) of getter material, has been studied to determine if after air exposure the getter film could be activated by a bakeout so as to transform the coated vacuum chamber into a pump. The materials studied so far are Ti, Zr, Hf, and some of their binary alloys. They all display an activation temperature lower than 400 °C, i.e., within the reach of the baking temperature of stainless steel vacuum chambers. The lowest activation temperature of 200–250 °C, measured for an equiatomic alloy of Ti and Zr, allows extension of this method to chambers made of copper and aluminum alloys. The experimental results, described here in detail, indicate that the values of the activation temperature obtained using electron stimulated desorption, pumping speed, and Auger spectroscopy measurements are self-consistent.

Journal ArticleDOI
TL;DR: In this paper, a negative potential is induced by the flood gun in the region surrounding the x-ray beam, which repels electrons, inhibiting the adequate neutralization of many samples.
Abstract: Obtaining high resolution x-ray photoemission spectroscopy (XPS) spectra of insulating samples has long been a problem because of difficulty controlling sample surface potentials. A flood of low energy electrons has traditionally been used to control surface potential, but as monochromatized instruments with small, intense x-ray beams have become available, control has become much more difficult, particularly on larger samples. Increasing the current or energy from the flood gun does not improve the control appreciably. To understand the charging effect in a quantitative way, we have conducted some experiments with a test sample, configured to approximate the geometry present in several commercial XPS instruments using focused x-ray sources. These results show that, because of the energy spread of the flooding electrons, a negative potential is induced by the flood gun in the region surrounding the x-ray beam. This negative potential repels electrons, inhibiting the adequate neutralization of many samples...

Journal ArticleDOI
TL;DR: In this article, the etch rate of silicon nitride (Si3N4) and silicon dioxide (SiO2) in the afterglow of NF3 and NF3/O2 microwave discharges was characterized.
Abstract: The etching of silicon nitride (Si3N4) and silicon dioxide (SiO2) in the afterglow of NF3 and NF3/O2 microwave discharges has been characterized. The etch rates of both materials increase approximately linearly with the flow of NF3 due to the increased availability of F atoms. The etch rate of Si3N4 is enhanced significantly upon O2 injection into the NF3 discharge for O2/NF3 ratios of 0.3 and higher, whereas the SiO2 etch rate is less influenced for the same flow ratios. X-ray photoelectron spectroscopy of processed Si3N4 samples shows that the fluorine content of the reactive layer, which forms on the Si3N4 surface during etching, decreases with the flow of O2, and instead oxidation and nitrogen depletion of the surface occur. The oxidation of the reactive layer follows the same dependence on the flow of O2 as the etch rate. Argon actinometry and quadrupole mass spectrometry are used to identify reactive species in the etching of both materials. The atomic fluorine density decreases due to dilution as O...

Journal ArticleDOI
TL;DR: In this paper, the authors describe the energy and angle distributions of reflected atoms obtained from molecular dynamics (MD) simulations and determine the Ar and Cl reflection probabilities, i.e., the fraction of Ar and C atoms scattered from the surfaces during the 1-2 ps MD trajectories.
Abstract: Previous profile evolution studies of plasma-assisted etch processes have shown that ions scattered from sidewalls can lead to microtrench formation on the bottom of an etched feature [see, for example, Dalton et al., J. Electrochem. Soc. 140, 2395 (1993)]. In these studies, the ions impacting feature surfaces with incident angles above a critical value were assumed to reflect specularly from the surfaces. In the present article, we describe the energy and angle distributions of reflected atoms obtained from molecular dynamics (MD) simulations. We simulated Ar+ and Cl+ ions impacting model silicon surfaces. The ion incident energies Ei were 20, 50, and 100 eV. We varied the ion incident angles θi from 0° to 85° from the surface normal. The model silicon surfaces had chlorine coverages of 0 monolayers (ML) of Cl, 1 ML Cl, and 2.3 ML Cl. We determined the Ar and Cl reflection probabilities, i.e., the fraction of Ar and Cl atoms scattered from the surfaces during the 1–2 ps MD trajectories. For θi⩾75°, we fo...

Journal ArticleDOI
TL;DR: In this article, a very high selectivity silicon nitride etch process has been developed on an inductively coupled plasma etching system which uses a NF3/O2/NH3 (nonchlorine) chemistry.
Abstract: A very high-selectivity silicon nitride etch process has been developed on an inductively coupled plasma etching system which uses a NF3/O2/NH3 (nonchlorine) chemistry. Etch selectivity of low-pressure chemical vapor deposition nitride to thermal oxide greater than 100:1 was achieved at a nitride etch rate of 500 A/min. A NF3/O2 chemistry was optimized for nitride to oxide selectivity of about 12:1, with a nitride etch rate of 1200 A/min. The addition of NH3 inhibits oxide etching thus enhancing selectivity. The net etch rate for oxide may be reduced to zero while maintaining a reasonably high etch rate for nitride thus resulting in essentially infinite selectivity. The process is stable, repeatable and creates no particles. A split lot test on device wafers against standard wet etch process demonstrates superior process and device performance.

Journal ArticleDOI
TL;DR: In this article, thin films of hafnium oxide have been prepared by using a dual ion beam sputtering system, and a study of their physical properties is reported, showing a mixture of amorphous and polycrystalline structure and a substoichiometric composition.
Abstract: Thin films of hafnium oxide have been prepared by using a dual ion beam sputtering system. A study of their physical properties is reported. In particular, structural and compositional characterization was performed by means of x-ray diffraction and x-ray photoelectron spectroscopy techniques, showing a mixture of amorphous and polycrystalline structure and a substoichiometric composition. The atomic force microscopy results have shown a crater-like morphology probably due to the deposition process. In addition the gas sensing characteristics were analyzed in the presence of carbon monoxide. The variations in the electrical resistance have shown the capability of the films to detect CO and then the possibility to use hafnium oxides as a new sensitive material in the field of gas sensors.