scispace - formally typeset
Proceedings ArticleDOI

Sensing schemes of sense amplifier for single-ended SRAM

TLDR
In this paper, a modification of the conventional 6T SRAM cell into the 8TSRAM (Static Random Access Memory) cell memory architecture, focusing on enhancing the writing and reading stability of the memory cell with an additional advantage of providing a separate path for reading the data.
Abstract
Background/Objective: The memory system occupy a significantly larger area of the SoCs (System on Chip) and it also contributes heavily to the increasing power consumption. The major part of the power consumption is due to the peripheral circuits of the memory systems, with the sense amplifier playing a dominant role, while the memory is accessed for the reading operation. This paper presents a modification of the conventional 6T SRAM cell into the 8T SRAM (Static Random Access Memory) cell memory architecture, focusing on enhancing the writing and reading stability of the memory cell with an additional advantage of providing a separate path for reading the data. Statistical Analysis/Method: To enhance the sensing performance, various sensing schemes such as the domino sensing scheme, AC Coupled sensing scheme and Switching pMOS sense amplifier have been employed. The above mentioned sensing schemes use single bit line for sensing the data. These single ended sensing schemes are implemented and simulated on industry standard Cadence EDA tool using 45nm technology. These are employed for sensing the data from the SRAM banks comprising 8T SRAM cells. Findings: The simulation results show that the power consumption during sensing operation is reduced as compared to traditional sense amplifier due to the advantage of single ended bit line sensing. Conclusion: The investigation and comparison among the three single ended sensing schemes reveals that the switching pMOS sense amplifier exhibits better performance with considerable amount of reduction in sensing power.

read more

Citations
More filters
Journal ArticleDOI

SRAM Cell Design Challenges in Modern Deep Sub-Micron Technologies: An Overview

TL;DR: In this article , the authors comprehensively review prominent challenges to the SRAM cell design after classifying them into five distinct categories, each category explains underlying mathematical relations followed by viable solutions.
Proceedings ArticleDOI

A 0.3V 15.6MHz 7T SRAM with Boosted Write and Read Worldlines

TL;DR: An ultra-low power 7T -based SRAM system is proposed with write and read wordlines boost assist circuits: WWLB and RWLB that works properly at a very low supply voltage equal to 0.3 V.
References
More filters
Proceedings ArticleDOI

Stable SRAM cell design for the 32 nm node and beyond

TL;DR: This work demonstrates the smallest 6T and full 8T-SRAM cells to date and provides a much greater enhancement in stability by eliminating cell disturbs during a read access, thus facilitating continued technology scaling.
Proceedings ArticleDOI

Asymmetrical SRAM Cells with Enhanced Read and Write Margins

TL;DR: Technology methods to improve the read stability (or static noise margin (SNM) of asymmetrical SRAM cell based on judicious placement of a weakened pull-down device (NL) in the cell thus achieving Read SNM comparable to the standby SNM.
Proceedings ArticleDOI

Evaluation of differential vs. single-ended sensing and asymmetric cells in 90 nm logic technology for on-chip caches

TL;DR: SRAM arrays using differential sensing and single-ended sensing and their power and performance behaviors are studied and a novel SE scheme is proposed to overcome the delay degradation due to large bit line leakage in scaled technology.
Proceedings ArticleDOI

A 8Kb domino read SRAM with hit logic and parity checker

TL;DR: The focus of this paper is to demonstrate a memory array, comprised of 6T cells, that can generate near "rail-to-rail" bit-line voltage differentials that can be driven off macro without the aid of sense amplifiers.
Journal ArticleDOI

Trip-Point Bit-Line Precharge Sensing Scheme for Single-Ended SRAM

TL;DR: A trip-point bit-line precharge (TBP) sensing scheme is proposed for high-speed single-ended static random-access memory (SRAM) that mitigates the issues of limited performance, power, sensing margin, and area found in the previous single-end SRAM sensing schemes.
Related Papers (5)