scispace - formally typeset
Journal ArticleDOI

Study on the effects of wafer thinning and dicing on chip strength

TLDR
In this paper, the authors identified the weak regions, in terms of mechanical strength, in chips in a semiconductor wafer using the three-point bending test, which was observed in two sectors approximately 45/spl deg/m wide, axisymmetric to the wafer center.
Abstract
Die cracking is an annoying problem in the packaging industry. In this paper, we identified the weak regions, in terms of mechanical strength, in chips in a semiconductor wafer using the three-point bending test. The weak regions were observed in two sectors approximately 45/spl deg/ wide, axisymmetric to the wafer center. The strength of the chips within these weak regions was about 30%-35% lower than the average chip strength of the whole wafer. The existence of these weak regions was related to spiral grinding marks, which, in turn, were formed by backside mechanical grinding. The probability distributions of the chip strength and the chip fragmentary pattern confirmed this relationship. When wafers were mechanically ground until they were 50-/spl mu/m thick, chip warpage was found to be oriented to the direction of the grinding marks. Meanwhile, by slowing the mechanical grinding speed by 50%, we were able to increase the average chip strength by 56%. Either plasma etching or polishing after mechanical grinding eliminated the weak regions, and the optimal amount of mechanical grinding and the polishing depths were observed, beyond which the chip strength would not increase. On the other hand, a preprocess for blunting a new saw blade for chip dicing was found to be essential as the chip strength increased five-fold, whereas increasing the dicing speed or using dual saw instead of a single saw had only small effects on the chip strength degradation.

read more

Citations
More filters
Journal ArticleDOI

Process induced sub-surface damage in mechanically ground silicon wafers

TL;DR: In this article, micro-Raman spectroscopy, scanning electron microcopy, atomic force microscopy and preferential etching were used to characterize the sub-surface damage induced by the rough and fine grinding steps used to make ultra-thin silicon wafers.
Journal ArticleDOI

Advanced polishing, grinding and finishing processes for various manufacturing applications: a review

TL;DR: In this article, advanced polishing, grinding and finishing processes for challenging manufacturing applications are reviewed. The topics covered are machining of advanced alloys, machining wafers, and machining machining.
Patent

Bonding a non-metal body to a metal surface using inductive heating

TL;DR: In this paper, an inductive heating of a heat-activated bonding agent disposed between metal and non-metal objects is used to quickly and effectively bond the two without changing their material properties.
Journal ArticleDOI

Investigation of chipping and wear of silicon wafer dicing

TL;DR: Wafer dicing chipping and blade wear processes in transient and steady stages were investigated in this article, where dicing blades with two different diamond grit sizes were used to cut wafers and for a given type of wafer, the cooling water temperature, cutting feed speed, and rotational speed were fixed.
Proceedings ArticleDOI

Overview and emerging challenges in mechanical dicing of silicon wafers

V.P. Ganesh, +1 more
TL;DR: An overview and emerging challenges in mechanical dicing of silicon wafer are discussed from view point of narrow kerf, thin wafer, chip strength enhancement, Copper (Cu)/low-k wafers and dicing challenges for emerging technologies as mentioned in this paper.
References
More filters
Journal ArticleDOI

Assessment of backside processes through die strength evaluation

TL;DR: This work outlines a comparative analysis of various thinning processes and their effects on die strength and serves as a basis for process selection to meet the trends and needs of a reliable package, and for the development and improvement of existing processes.
Proceedings ArticleDOI

An investigation into the fracture of silicon die used in flip chip applications

S.F. Popelar
TL;DR: In this article, the fracture strength of silicon has been measured as a function of die thickness, crystal orientation and die surface treatment using a four-point bend test method, and the influence of minute surface flaws or divots generated from a die singulation process has also been quantified.
Journal ArticleDOI

Fracture strength measurement of silicon chips

TL;DR: In this paper, a three point bending test is used to determine how the susceptivity of large Si chips to brittle fracture correlates with chip thickness and backend process quality, and the experimental result indicates that a limitation exists in the enhancement of the mechanical stability of Si chips by the control of chip thickness because cracks in chips have a tendency to seek out deep scratches rather than typical flaws.
Proceedings ArticleDOI

Cost-performance wafer thinning technology

TL;DR: In this article, the authors proposed two cost-effective solutions for the consumer product designers: (1) Adopt the thm package to increase the end product size and weight; (2) adopt the stacked-die package integrating several functions in one package as SIP (system in package) to make the end-product multi-functional.
Journal ArticleDOI

The influence of backgrinding on the fracture strength of 100 mm diameter (1 1 1) p-type silicon wafers

TL;DR: In this paper, the influence of grinding geometry and damage depth on the fracture strength of 100 mm diameter (1 1 1 1) p-type silicon wafers has been studied.
Related Papers (5)