scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 1999"


Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this paper, the authors developed an optical proximity correction (OPC) program that can be applied to a strong or attenuated phase shift mask as well as to a binary mask.
Abstract: As the design rule of semiconductor microchips gets smaller, the distortion of a patterned image due to the optical proximity effect (OPE) becomes the limiting factor in the mass production. We developed an optical proximity correction (OPC) program that can be applied to a strong or attenuated phase shift mask as well as to a binary mask. The OPC program named OPERA is based on a stochastic approach as other rule-free OPC programs, but it has tow remarkable points. Firstly, proper cost function and optimization strategy enable us to achieve very closely clustered mask pattern that could be manufactured at a reasonable cost. Secondly, OPERA can carry out the optimization of illumination parameters for any modified illumination methods, such as, annular or quadrupole using the critical dimensions information of mask patterns.

49 citations


Patent
05 Apr 1999
TL;DR: In this article, a computer implemented method that uses a full integrated circuit (IC) chip design, to be printed by an attenuated phase shift mask, as an input parameter is presented.
Abstract: A computer implemented method that uses a full integrated circuit (IC) chip design, to be printed by an attenuated phase shift mask, as an input parameter. Each feature environment within the input full IC chip design is individually simulated to determine how the features within the environment would be printed from the mask created according to the input design. The simulation of each environment also determines the extent and locations of unwanted side lobes that would also be printed from the mask. Once the side lobes are determined, auxiliary features are incorporated into the input design so that the auxiliary features will become transparent openings within a mask created in accordance with the modified input design. Each auxiliary feature opening is placed at a side lobe location and is designed to eliminate the side lobe by passing radiant energy that is 180 degrees out of phase with the radiant energy of the side lobe. Thus, each auxiliary feature serves as a side lobe inhibitor when incorporated into the mask. The modified input design undergoes a proximity correction to ensure that features of each environment print as originally desired. Once corrected, each environment is simulated again to verify that its features will print as originally desired and without side lobes. Once verified, the modified input design is used to create an attenuated phase shift mask that prints the desired features, but does not print side lobes.

42 citations


Patent
26 Mar 1999
TL;DR: In this article, a method for fabricating a mask for patterning a radiation sensitive layer in a lithographic printer is described, where an attenuating (absorptive or reflective) layer is coated over a substantially transparent base substrate such that after processing a two-dimensional spatially varying attenuating pattern is created with a continuously or discretely varying transmission or reflection function.
Abstract: A method for fabricating a mask for patterning a radiation sensitive layer in a lithographic printer is disclosed. An attenuating (absorptive or reflective) layer is coated over a substantially transparent base substrate such that after processing a two-dimensional spatially varying attenuating pattern is created with a continuously or discretely varying transmission or reflection function. In accordance with the present invention the two-dimensional attenuating pattern is formed by e-beam patterning of radiation sensitive layer to create a three-dimensional surface relief pattern. This pattern is transferred to the attenuating layer by an anisotropic etch, typically a directional reactive plasma etch. The attenuation of this radiation absorbing or reflecting layer varies with layer thickness. In one embodiment of this invention the attenuation of the mask would vary spatially in a continuous manner. In a second embodiment the attenuation of the mask (either reflective or absorptive) would vary spatially in discrete steps. One application of the mask created by this invention would be used to replicate a three-dimensional surface relief onto a second substrate by lithographic means. The replicated surface relief pattern could be used to store information, act as a mold for the formation of complex micro parts and to control etching of complex surfaces in micro optics, microelectronics and MEMS devices. The mask can be used as a phase shift mask to print high resolution profiles for the fabrication of microelectronics or to store and preserve images.

32 citations


Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this article, IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility demonstrated an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shift mask.
Abstract: While the benefits of alternating phase shifted masks in improving lithographic process windows at increased resolution are well known throughout the lithography community, broad implementation of this potentially powerful technique has been slow due to the inherent complexity of the layout design and mask manufacturing process. This paper will review a project undertaken at IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility to understand the technical and logistical issues associated with the application of alternating phase shifted mask technology to the gate level of a full microprocessor chip. The work presented here depicts an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shifted mask. The design conversion of the microprocessor gate level to a conjugate twin shifter alternating phase shift layout was accomplished with IBM's internal design system that automatically scaled the design, added required phase regions, and resolved phase conflicts. The subsequent fabrication of a nearly defect free phase shifted mask, as verified by SEM based die to die inspection, highlights the maturity of the alternating phase shifted mask manufacturing process in IBM's internal mask facility. Well defined and recognized challenges in mask inspection and repair remain and the layout of alternating phase shifted masks present a design and data preparation overhead, but the data presented here demonstrate the feasibility of designing and building manufacturing quality alternating phase shifted masks for the gate level of a microprocessor.

31 citations


PatentDOI
Derek B. Dove1, Kwang Kuo Shih1
TL;DR: In this article, a single layer provides both the phase shift and attenuation required and is readily patterned and processed to produce attenuating phase shift masks, which can then be used to produce transmissive attenuating masks.
Abstract: The fabrication of transmissive attenuating types of phase shift masks by formation of and selective etch of a layer, deposited on a substrate. This single layer provides both the phase shift and the attenuation required and is readily patterned and processed to produce attenuating phase shift masks.

25 citations


Proceedings ArticleDOI
25 Aug 1999
TL;DR: In this paper, an 18% transmittance attenuating phase shift mask was used to improve aerial image log slope through focus for the lines and contacts, and the results showed that a ternary contact hole mask is capable of manufacture.
Abstract: Experiments to print 140 nm lines and 140 nm contact holes were optimized through simulation on an 18% transmitting phase shift mask for KrF lithography. A transmittance of 18% is to improve aerial image log slope through focus for the lines and contacts. The 140 nm lines for all three pitches have a maximum depth of focus of at least 0.90 micrometer. The exposure latitude of the 140 nm lines is over 7.5% for all pitches to maintain 0.6 micrometer depth of focus. Experiments show that the 140 nm and 160 nm contact holes resolve without side lobe printing through focus and through exposure. Reticle SEMs verify that a ternary contact hole mask is capable of manufacture. By adding both opaque and clear sub-resolution assist features, the experiments show contacts as small as 140 nm resolve with 0.50 micrometer focus latitude with 10% exposure latitude through pitch. Cross sectional SEMs verify that 140 nm contact holes are open through pitch. Simulations to quantify the MEF show that the MEF for attenuating PSM decreases as the transmittance of the attenuating material increases. The MEF for a 30% transmitting attenuating material is 1.90 for a 150 nm feature and the MEF for an alternating PSM is 1.42 for the same 150 nm feature. Trends in aerial image CD variation due to aberrations were simulated for focal plane deviation, x coma, astigmatism and spherical aberrations. The simulations of alternating PSM imaged with (sigma) of 0.35 suggest that alternating PSM have the least CD variation due to focal plane deviation, astigmatism, and coma for dense pitches. The CD variation due to coma is comparable to 33% transmittance attenuating PSM for isolated lines. Furthermore alternating PSM is more susceptible for CD placement error due to coma compared to attenuating PSM. The 33% transmittance attenuating PSM has the least CD variation due to spherical aberration in comparison to BIM and alternating PSM.

24 citations


Patent
Jeng-Horng Chen1
28 Dec 1999
TL;DR: An attenuating phase shifting mask and method of forming an attenuating mask for patterns having both isolated and dense contact holes and/or line/space patterns on the same mask is presented in this article.
Abstract: An attenuating phase shifting mask and method of forming an attenuating mask for patterns having both isolated and dense contact holes and/or line/space patterns on the same mask. In the more isolated regions of the contact hole mask the contact holes have 0° phase shift and 100% light transmission. In the dense regions of a contact hole mask the contact holes have 0° phase shift and a second thickness of light absorbing material with a relatively low light absorption. The region around the contact holes have 180° phase shift and a first thickness of light absorbing material with a relatively high light absorption. The lines of a line/space mask have 180° phase shift and a first thickness of light absorbing material with a relatively high light absorption. The spaces between the isolated lines have 0° phase shift and 100% light transmission. The spaces between the dense lines 0° phase shift and a second thickness of light absorbing material with a relatively low light absorption. The dense and isolated pattern regions are separated using logic operations on the mask design data.

17 citations


Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this article, the authors illustrate process window improving by imaging enhancement with binary and attenuated mask, with conventional and annular off-axis illumination, with and without OPC.
Abstract: The process window for a particular feature type can be improved by improving the aerial image or tuning the resist process. The aerial image can be improved by means of illumination or by means of mask enhancements. The illumination can be on-axis or off-axis tuned to feature type and mask. Mask enhancements being OPC and phase shifting. We illustrate process window improving by imaging enhancement with binary and attenuated mask, with conventional and annular off-axis illumination, with and without OPC. The OPC is Sub resolution assist features (SRF). The SRF structure modifies the aerial image of the primary feature and allows for reducing dense-iso bias across pitch leading to a larger overlapping DOF across multiple pitches (ODOF). Across pitch studies with a binary mask were carried out for semi-dense and isolated lines. This study was conducted with two types of resists. A low contrast resist process tuned for isolated line as patterned on an ASML/300 stepper. And a high contrast resist tuned for dense lines patterned on a SVGL Micrascan 3. Reported results are process improvements across pitch, developing process with scattering bars and not printing of side lobes. Simulation result with low and high contrast resist, Binary vs. 6 percent transmission masks will also be reported. PROLITH/3 simulation study conducted with a low contrast resist suggested that the isolated line resist would print the 80nm sub resolution feature at sizing. Further, that a high contrast resist would not print them at sizing but would print them when the 160nm lines were sized roughly 10 percent larger region. Thus far, at sizing, the experimental results matched prediction; the low contrast resists process printed the sub resolution features. As for process window matching across the chosen pitches, this process showed an imperfect solution with over exposure to eliminate the sub resolution patterns. Simulations appear to make good predictions of the two cases examined and make it possible to explore better solutions. For instance, under a fixed set of develop and PEB conditions, analysis of infinite contrast resist did not move the danger of sub resolution feature printing much above the +10 percent CD sizing. However, using a 6 percent ternary attPSM moved the printing limit to +20 percent of target Cd size. The result of process window improvements with an attenuated PSM using a high contrast resist will be discussed. In all the cases, sub resolution feature OPC for isolated lines was compared with no OPC feature.

16 citations


Patent
08 Oct 1999
TL;DR: An attenuated phase shift mask for use in a lithography process includes a masking film made of at least one material with at least a silicon component which provides a transmission above about 0.5 percent and a phase shift of about a 180° for radiation at a wavelength at or below about 160 nm as mentioned in this paper.
Abstract: An attenuated phase shift mask for use in a lithography process includes a masking film made of at least one material with at least a silicon component which provides a transmission above about 0.5 percent and a phase shift of about a 180° for radiation at a wavelength at or below about 160 nm.

16 citations


Patent
21 Oct 1999
TL;DR: In this paper, a phase shift mask and a method for modifying the mask layout to remove the sidelobe regions is presented. But, the method is based on the size and/or the maximum of light intensity in a given sidelobe region.
Abstract: A phase shift mask and a method of designing a phase shift mask involves simulation of light exposure through the mask layout in order to identify sidelobe regions. The method includes modification of the mask layout to remove the sidelobe regions. The method of modification may be based upon the size and/or the maximum of light intensity in a given sidelobe region. The method may utilize an iterative process of modifying the mask layout and simulating exposure through the modified mask layout until a threshold criterion such as the non-existence of sidelobe regions is met.

15 citations


Patent
20 Oct 1999
TL;DR: In this paper, a mask combining an alternating phase shift part and an attenuating part on a single blank and a method of forming said mask is presented. But the method involves fewer processing steps, fewer layers of material and is more cost effective than other methods in the current art.
Abstract: A mask combining an alternating phase shift part and an attenuating phase shift part on a single blank and a method of forming said mask. The method involves fewer processing steps, fewer layers of material and is more cost effective than other methods in the current art. A central reason for the simplicity of the method is the use of different intensity levels of E-beam exposure in a single resist layer and achieving phase shifts by transmitting radiation through alternating regions of the same transparent substrate that are etched and not etched.

Patent
Yong-Hoon Kim1, Jin-Hong Park1
21 Apr 1999
TL;DR: In this article, a method of fabricating a phase shift mask is provided in which light shield film patterns for setting phase shift region and a phase non-shift region are simultaneously formed on a substrate.
Abstract: A method of fabricating a phase shift mask is provided in which light shield film patterns for setting a phase shift region and a phase non-shift region are simultaneously formed on a substrate. A groove is formed in the substrate set as the phase shift region. The light shield film pattern, which contacts the groove and is formed on a region of the substrate set as the phase non-shift region, is removed. A phase shift layer can be formed between the substrate and the light shield film pattern. In this case, regions set by the light shield film pattern become opposite to when the phase shift layer is not formed. That is, a phase shift region is changed into a phase non-shift region, and the phase non-shift region is changed into the phase shift region. As described above, the phase shift region and the phase non-shift region are simultaneously set when the light shield film pattern is formed, thus preventing the position of the phase shift or non-shift region from being shifted due to sequential formation of the phase shift and non-shift regions.

Patent
02 Aug 1999
TL;DR: In this paper, aerial image measurement equipment is used to both determine phase shift of a phase shift alignment region at least in part by capturing a series of aerial images as a function of focus and to determine process alignment in the formation of the phase-shift alignment region.
Abstract: In but one implementation in the fabrication of a phase shift mask, both process alignment in the formation of a phase shift alignment region and degree of phase shift of the phase shift alignment region is determined at least in part by using aerial image measurement equipment. In one implementation, aerial image measurement equipment is used to both determine phase shift of a phase shift alignment region at least in part by capturing a series of aerial images as a function of focus and to determine process alignment in the formation of the phase shift alignment region at least in part by measuring distance between spaced low intensity locations defined by an edge of the phase shift alignment region and an adjacent alignment feature edge. In one implementation, process alignment in the formation of a phase shift alignment region is determined at least in part by using aerial image measurement equipment to determine photoresist patterning alignment prior to etching material to form said phase shift alignment region. In one implementation, aerial image measurement equipment is used to determine photoresist patterning alignment for formation of a phase shift alignment region at least in part by measuring distance between spaced intensity change locations defined by an alignment feature edge beneath the photoresist and an edge of the photoresist.

Patent
Trung T. Doan1
02 Sep 1999
TL;DR: In this article, a method of fabricating an attenuated phase shift mask having a circuitry pattern area and a no-circuitry area includes providing a transparent substrate A first light shielding layer is formed over the transparent substrate.
Abstract: The invention comprises methods of fabricating attenuated phase shift masks In but one implementation, a method of fabricating an attenuated phase shift mask having a circuitry pattern area and a no-circuitry area includes providing a transparent substrate A first light shielding layer is formed over the transparent substrate The first light shielding layer comprises a semi-transparent shifter material A second light shielding layer is formed over the first light shielding layer At least some of the second light shielding layer material is removed from the circuitry pattern area prior to forming a circuitry pattern in a photoresist layer in the circuitry pattern area In one implementation, the first light shielding layer is etched within the circuitry pattern area without using the second light shielding layer as a mask within the circuitry pattern area during the etching In one implementation, the first light shielding layer is etched within the circuitry pattern area to define a desired circuitry pattern while a photoresist layer masks some of the first light shielding layer within the circuitry pattern area

Patent
13 Sep 1999
TL;DR: In this paper, a method of forming an alternative phase shift mask and forming a circuit pattern on a wafer using the mask is described, where optical proximity correction is added to a data file to obtain a first modified data file.
Abstract: A method of forming an alternative phase shifting mask and forming a circuit pattern on a wafer using the mask are described. Optical proximity correction is added to a data file, in which a description of a circuit pattern has been stored, to obtain a first modified data file. The first modified data file is then separated into a second modified data file, for regions of the mask having dense line/space patterns, and a third modified data file, for regions of the mask having isolated line space patterns. Critical dimension bias is then added to the second modified data file forming a fourth modified data file. The third modified data file and the fourth modified data file are then merged into a single fifth modified data file. The fifth modified data file is then is then converted to an alternative phase shift data file. An alternative phase shift mask is then formed from the alternative phase shift data file. The alternative phase shift mask has then been corrected for optical proximity effect and critical dimension bias has been added. This alternative phase shift mask can then be used in forming the circuit pattern on an integrated circuit wafer.

Journal ArticleDOI
TL;DR: In this paper, a 2D hole array with 0.40 µm pitch is resolved with a 0.6 µm depth of focus (DOF) using a KrF excimer laser stepper.
Abstract: Dense 0.10 µm hole pattern formation is achieved by optical lithography with a KrF excimer laser. A Double exposure utilizing two alternating phase shift masks (PSMs) of the line-and-space (L/S) pattern laid out in different directions produces a dense and small hole image in bright field with large focus and exposure latitude. Applying this method with a KrF excimer laser stepper and a chemically amplified negative-tone resist, a two-dimensional (2-D) 0.10 µm hole array with 0.40 µm pitch is resolved with a 0.6 µm depth of focus (DOF). The hole diameter and pitch in the resolution limit seem to be less than 0.10 µm and 0.28 µm, respectively. Also, 2-D hole arrays with different pitches in the x and y directions are easily formed using masks with different pitches in each direction. The hole pattern of an actual DRAM cell is successfully formed by this method. Because of the excellent patterning performance, this method will enable the fabrication of multi giga bit DRAMs by KrF excimer laser lithography.

Patent
02 Dec 1999
TL;DR: In this paper, a phase shift mask pattern was created by reactive ion etching to selectively etch and remove the film by using an etching gas containing gaseous chlorine.
Abstract: PROBLEM TO BE SOLVED: To provide a method of producing a phase shift mask by which an etched state of the surface of a quartz substrate as the base is decreased and a rough surface or production of a rough pattern are suppressed when etching of a coating film consisting of a phase shift mask material such as oxide nitride of molybdenum silicon is completed SOLUTION: The production method includes a process of forming a film consisting of any of an oxide of molybdenum silicon, nitride of molybdenum silicon, oxide nitride of molybdenum-silicon, nitride of silicon and oxide nitride of silicon on a quartz substrate, and a process of forming a phase shift mask pattern by reactive ion etching to selectively etch and remove the film by using an etching gas containing gaseous chlorine

Patent
22 Jan 1999
TL;DR: In this paper, a halftone type phase shift mask with high pattern forming accuracy and a blank for this haloftone type was provided, where reaction sputtering was executed by using a DC sputtering apparatus and using a gaseous mixture using gasesous argon, gasesou oxygen, gaseou nitrogen, and gaseusou nitrogen.
Abstract: PROBLEM TO BE SOLVED: To provide a halftone type phase shift mask which satisfies an optical constant as a phase shift mask, controls the reflectivity at exposure light and the transmittance at an inspection wavelength and has high pattern forming accuracy and a blank for this halftone type phase shift mask SOLUTION: Reaction sputtering is executed by using a DC sputtering apparatus and using a gaseous mixture using gaseous argon, gaseous oxygen and gaseous nitrogen and a gaseous mixture atmosphere using the gaseous argon and the gaseous oxygen and by using a zirconium target, by which a transparent film 12 consisting of a zirconium oxynitride film and a translucent film 13 consisting of a zirconium oxide film are formed on a translucent substrate 11 and the halftone type phase shift mask blank 10 is manufactured Further, resist patterns 14 are formed and the transparent film 12 and the translucent film 13 are subjected to a patterning treatment, by which the halftone type phase shift mask 20 is obtd

Patent
Hua-Tai Lin1
29 Apr 1999
TL;DR: A phase shifting mask set and method of suing the phase shift mask set to pattern a layer of negative photoresist is described in this article, where the mask set consists of a first phase mask and a second phase mask.
Abstract: A phase shifting mask set and method of suing the phase shifting mask set to pattern a layer of negative photoresist. The mask set comprises a first phase shifting mask and a second phase shifting mask. The first phase shifting mask has regions of 90° phase shift and −90° phase shift in the contact hole regions of the mask. The second phase shift mask also has regions of 90° phase shift and −90° phase shift in the contact hole regions of the mask. In the second phase shift mask the 90° phase shift regions are rotated 90° spatially with respect to the 90° phase shift regions of the first phase shift mask and the −90° phase shift regions are rotated 90° spatially with respect to the −90° phase shift regions of the first phase shift mask. A layer of negative photoresist is exposed with the first and second phase shift masks and developed to form the photoresist pattern used to form contact holes.

Patent
22 Jan 1999
TL;DR: In this paper, the front surface of the halftone phase shifter film is brought into contact with the active species of the introducing gas generated in an after-glow section 4 on the outer side of a glow discharge section 3 by subjecting gas contg. oxygen or nitrogen, or the oxygen and the nitrogen to plasma excitation, by which only the surface layer part thereof is oxidized or nitrized or oxynitride and the transmittance and reflectivity of the exposure light in the Halftone Phase Shift Mask are improved.
Abstract: PROBLEM TO BE SOLVED: To provide a halftone type phase shift mask which may be improved in the transmittance at a specific wavelength in a rang from microorder to several tens % without the impairment of the basic characteristics, such as phase shift angle, required for a halftone phase shifter film after the deposition of the halftone phase shifter film corresponding to an arbitrary exposure wavelength and a process for producing a halftone type phase shift mask blank, etc. SOLUTION: The front surface of the halftone phase shifter film 2 after deposition is brought into contact with the active species of the introducing gas generated in an after-glow section 4 on the outer side of a glow discharge section 3 by subjecting gas contg. oxygen or nitrogen, or the oxygen and the nitrogen to plasma excitation, by which only the surface layer part thereof is oxidized or nitrized or oxynitride and the transmittance and reflectivity of the exposure light in the halftone phase shifter film are improved.

Patent
22 Jan 1999
TL;DR: In this paper, an intermediate thin film 3 is formed between a semitransparent phase shift film 2 and a light-shielding film 4, which has etching selectivity for both of the layers.
Abstract: PROBLEM TO BE SOLVED: To correct defects in a light-shielding film without decreasing the resist pattern transfer characteristics of a halftone phase shift mask by forming an intermediate thin film between a semitransparent phase shift film and a light-shielding film in such a manner that the intermediate thin film has etching selectivity for both of the layers. SOLUTION: An intermediate thin film 3 is formed between a semitransparent phase shift film 2 and a light-shielding film 4. The intermediate thin film 3 has etching selectivity for both of the halftone phase shift film 2 and the light- shielding film 4. When defects 10 are produced in the light-shielding film 4, the defects 10 are removed by using a focused ion beam 11 of Ga ion. By using the focused ion beam 11, damages 13 are produced in the intermediate thin film 3 as the lower layer. However, these damages can be removed at one time when the intermediate thin film 3 is removed by wet etching by a sodium hydroxide liquid. Thereby, the defects 10 in the light-shielding film can be corrected without leaving damages in the mask after correction.

Patent
San-De Tzu1, Chia-Hui Lin1, Wei-Zen Chou1
22 Oct 1999
TL;DR: In this paper, a mask is formed on a blank consisting of a transparent quartz substrate over which is an attenuating phase shift layer and an optically opaque layer, by a process that produces a pattern in an E-beam sensitive resist with two different energy depositions.
Abstract: A simple, cost-effective method for forming a lithography mask with a directly imaged portion and an attenuated, phase shifted portion. In particular, the use of such a method for forming an outrigger-type phase shift mask. The mask is formed on a blank consisting of a transparent quartz substrate over which is an attenuating phase shift layer and an optically opaque layer, by a process that produces a pattern in an E-beam sensitive resist with two different E-beam energy depositions. The higher energy deposition is used to form the main pattern, while the lower energy deposition forms the pattern for the outrigger.

Patent
27 Dec 1999
TL;DR: In this article, a phase shift mask is used to improve the process margin at the time of forming the contact hole of a DRAM memory cell by using anisotropic etching.
Abstract: PROBLEM TO BE SOLVED: To improve the process margin at the time of forming the contact hole of a DRAM memory cell. SOLUTION: After a MISFET is formed on the main surface of a semiconductor substrate, an interlayer insulating film is formed and a negative photoresist film is formed on the insulating film. By performing first-stage exposure, the areas F to be irradiated of the photoresist film are irradiated with light by using a phase shift mask formed in a straight line pattern extended in the direction Y in which word lines are extended. Then, by performing second-stage exposure, another areas G to be irradiated of the photoresist film are irradiated with light by using another phase shift mask formed in another straight line pattern extended in the longitudinal direction A of active areas L. Thereafter, a mask is formed by removing the photoresist film from the nonirradiated area and contact holes are formed by performing anisotropic etching.

Proceedings ArticleDOI
25 Aug 1999
TL;DR: In this article, the authors presented the optimized illumination systems for patterning with the attenuated phase shift mask (attPSM) and the relationship between the transmittance of the attPSM and the lithography performance by using simulations and experiments.
Abstract: Phase shift mask (PSM) with optical proximity effect correction (OPC) is the efficient tool of the resolution enhancement technology (RET). Particularly, it is commonly known that the attenuated PSM(att.PSM) has some merits in the memory device with a repeated cell patterns. But there are only a few results of what illumination systems affect the performance of the attPSM and how much the transmittance of the attPSM affects the lithography performance -- such as resolution and depth of focus. In this paper, we will present the optimized illumination systems for patterning with the attPSM, and the relationship between the transmittance of the attPSM and the lithography performance by using simulations and experiments. The resolution of KrF lithography with the Hi-T att.PSM can be extended to 130 nm through the simulation. We extend the resolution of KrF lithography with the Hi-T att.PSM to 150 nm through the experiment.

Patent
15 Jun 1999
TL;DR: The attenuated phase shift mask has a thickness between about 500 angstroms and 2000 angstrom, where the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer as mentioned in this paper.
Abstract: The attenuated phase shift mask in accordance with one embodiment of the present invention for use in lithography at or below 0.20 μm and for use at wavelengths below 300 nm includes a substrate with a layer deposited on the substrate. The layer comprises a group IV, V or VI transitional metal nitride and silicon nitride Si x N y . The attenuated phase shift mask has a thickness between about 500 angstroms and 2000 angstroms, where the group IV, V or VI transitional metal nitride comprises about ten to forty percent of the layer.

Patent
30 Jun 1999
TL;DR: In this paper, a self-aligned spacers are used to define phase shifting regions on a surface of a mask substrate, which are selectively removed one at a time to expose an underlying portion of the mask substrate.
Abstract: A technique for fabricating a phase shift mask with multiple phase shifts by using self-aligned spacers to define phase shifting regions on a surface of a mask substrate. One or more of the phase shifting regions is/are defined by forming one or more self-aligned spacer(s). The spacers are selectively removed one at a time to expose an underlying portion of the mask substrate. The exposed portion of the mask substrate is etched to different depths to form the different phase shifters for the mask.

Patent
26 Nov 1999
TL;DR: In this article, a manufacturing method for a mask enabling shortening of the time needed for the acceptance of an order through the completion of a product and inspecting of a mask blank as it is before the complete mask product and a blank necessary for this.
Abstract: PROBLEM TO BE SOLVED: To provide a manufacturing method for a mask enabling shortening of the time needed for the acceptance of an order through the completion of a product and inspecting of a mask blank as it is before the completion of a mask product and a blank necessary for this. SOLUTION: This method comprises of the steps of: depositing successively a semi-transparent phase shift layer 4, a light shielding layer 5, a first resist layer 6; forming a first resist film by patterning only a peripheral portion outside an effective area into a predetermined shape; forming a light-shielding film 5' by patterning the light-shielding layer through etching; peeling off the first resist film 6'; examining the semi-transparent phase shift layer exposed in the effective area; depositing a second resist layer 7 on a structure thus formed; forming a second resist film 7' whose shape corresponds to a shape of a main pattern; forming a semi-transparent phase shift film 4' by patterning the semi-transparent phase shift layer by etching; and peeling off the second resist film.

Patent
10 Mar 1999
TL;DR: In this article, a method of forming a pattern, capable of improving exposure accuracy for interconnection widths and implementing microfabrication of interconnections, without being restricted by exposed patterns, and a method for manufacturing a semiconductor device using the same method was proposed.
Abstract: PROBLEM TO BE SOLVED: To provide a method of forming patterns, capable of improving exposure accuracy for interconnection widths and implementing microfabrication of interconnections, without being restricted by exposed patterns, and a method of manufacturing a semiconductor device using the same method. SOLUTION: In a method of forming a pattern, multiple exposures are effected, using a Levenson phase shift mask 10 and a half-tone phase shift mask 20, respectively. In a MISFETQ, although the mask 10 is used to expose a gate electrode pattern having a larger gate length Lg, similarly the mask 20 is used to expose a connecting interconnection section which has a fine interconnection width Wj and a contact section having a contact width Wp. A shifter edge phase shift mask may be used in place of the mask 10.

Patent
28 Dec 1999
TL;DR: In this paper, a halftone type phase shift mask is used to prolong the service life of a mask for exposure by simple means, which can eliminate the influence of the deterioration of mask material induced by high-energy exposure light to the mask material.
Abstract: PROBLEM TO BE SOLVED: To eliminate the influence of the deterioration of a mask material induced by the high-energy exposure light to be case to the mask material of a halftone type phase shift mask and to prolong the service life of a mask for exposure by simple means. SOLUTION: This exposure mask consists of halftone films 303 having dark part regions 301 and bright part regions 302 of the exposure patterns formed on a transparent substrate 300 consisting of quartz, etc. The phase difference between the transmitted light of the dark part regions and the transmitted light of the bright part regions is specified about 180 deg. and the thicknesses of both regions are so set that the ratio of the intensity of the transmitted light of the dark part regions and bright part regions attains 0.03 to 0.15:1.

Patent
04 Jan 1999
TL;DR: In this article, a method and an apparatus for tuning the phase shifting of a phase shift mask having an attenuating phase shifting material providing at least 160° of phase shift was presented.
Abstract: The present invention provides a method and an apparatus for tuning the phase shifting of a phase shift mask having an attenuating phase shifting material providing at least 160° of phase shift. The method comprising the utilization of a phase measurement and selective etching operations which reduces the challenge of making an exacting 180° phase shifting mask. An attenuated phase shift mask structure is also disclosed in the present invention.