scispace - formally typeset
Search or ask a question

Showing papers on "Silicon dioxide published in 1974"


Journal ArticleDOI
TL;DR: In this paper, the authors measured the contact angle θ of water on silicon and on very thin layers of silicon dioxide grown on silicon, and showed that the interaction energy between water and the solid surface depends strongly on the oxide thickness.
Abstract: We have measured the contact angle θ of water on silicon and on very thin layers of silicon dioxide grown on silicon. The silicon is hydrophobic and θ is near 90°. Oxides thicker than 30 A are hydrophilic and θ is near 0°. For intermediate thicknesses, θ varies smoothly between these limits. Our results show that the interaction energy between water and the solid surface depends strongly on the oxide thickness. Consideration of different possible interactions leads us to conclude that this is due to corresponding changes in the structure or composition of the oxide surface.

174 citations


Journal ArticleDOI
M. Klasson1, A. Berndtsson1, J. Hedman1, R. Nilsson1, Ralf Nyholm1, Carl Nordling1 
TL;DR: In this article, the ESCA electron escape depth in silicon was determined from the peak areas in the electron spectra from evaporated thin films for electron energies in the region 320 eV to 3.6 keV values from 13 to 83 A.

130 citations


Patent
04 Jan 1974
TL;DR: The technique for forming on a substrate an abrasion-resistant layer having super-hydrophobic properties is described in this article, which is applied to the face of the substrate which has an inherently hydrophobic micro-pile formation.
Abstract: The technique for forming on a substrate an abrasion-resistant layer having super-hydrophobic properties. Applied to the face of the substrate which has an inherently hydrophobic micro-pile formation are hydrophobic fumed silicon dioxide particles dispersed in a hydrophobic solvent. Dissolved in the solvent is a resinous binder in a small but effective amount which, by weight, is substantially less than one half the amount of the particles in the dispersion. Upon volatilization of the solvent, the resultant layer is composed primarily of fumed silicon dioxide particles strongly bonded to the face of the substrate.

64 citations


Journal ArticleDOI
TL;DR: In this paper, the preparation and properties of thin films of silicon dioxide formed at ∼ 200°C by anodization in an RF plasma are described along with information on the effects of film sputtering and internal stress build-up during anodicization.
Abstract: The preparation and properties of thin films of silicon dioxide formed at ∼ 200°C by anodization in an RF plasma are described. A suitable procedure for obtaining good quality films is given along with information on the effects of film sputtering and internal stress build-up during anodization. Measurements on MOS capacitors utilising the plasma-grown oxide yielded information on oxide charges, Si/SiO2 interface state density, oxide permittivity, leakage resistance and film breakdown strength. Additional measurements determined the physical properties of stochiometry, impurity content, refractive index and etching behaviour. The plasma-anodized films can be routinely grown to a quality comparable with the best thermally-grown oxides.

37 citations


Patent
11 Sep 1974
TL;DR: In this article, a method for coating silicon oxide SiO film and silicon dioxide SiO2 film on the surface of transparent optical material of glass or syntheic resin whose refractive indices are varied by changing the condition of vapor-deposition is presented.
Abstract: A method for coating silicon oxide SiO film and silicon dioxide SiO2 film on the surface of transparent optical material of glass or syntheic resin whose refractive indices are varied by changing the condition of vapor-deposition, under a predetermined condition of vapor-deposition so as to form a firm and durable anti-reflection film.

35 citations


Patent
30 Sep 1974
TL;DR: In this article, a process for improving the adhesion of an evaporable glass coating on a synthetic polymer lens by the application of a base vitreous coating of a mixture of silicon dioxide and silicon monoxide by evaporating silicon dioxide under vacuum in an oxygen atmosphere so that in addition to silicon dioxide condensate is condensed on the outer surface of the lens.
Abstract: There is disclosed a process for improving the adhesion of an evaporable glass coating on a synthetic polymer lens by the application of a base vitreous coating of a mixture of silicon dioxide and silicon monoxide by evaporating silicon monoxide under vacuum in an oxygen atmosphere so that in addition to silicon monoxide, a silicon dioxide condensate is condensed on the outer surface of the lens. An outer vitreous coating is subsequently applied over said base coating. The evaporative glass outer coating can be formed essentially from boron oxide and silicon dioxide containing less than 5% by weight of sodium oxide. The evaporative glass coating can also be of fused silica, or a boro-silicate glass sold under the trademark "Pyrex".

34 citations


Patent
23 Aug 1974
TL;DR: In this paper, a process comprising incorporation to the reaction mixture, in addition to the water adsorbed by the SiO 2, an amount of 0.3 to 3% by weight of water calculated on the weight of the siO 2.
Abstract: Process for preparing hydrophobic SiO 2 by reacting solid SiO 2 of finest particle size with organosilicon compounds while subjecting the reaction mixture to a mechanical impact treatment which will at the same time result in a comminution of the SiO 2 particles as well as of their aggregates, said process comprising incorporation to the reaction mixture, in addition to the water adsorbed by the SiO 2 , an amount of 0.3 to 3% by weight of water calculated on the weight of the SiO 2 .

28 citations


Patent
04 Dec 1974
TL;DR: In this paper, a process for converting silicon and fluorine-containing waste gases into silicon dioxide and hydrogen fluoride, absorbing the waste gases in water to form hydrofluosilicic acid, decomposing the acid in the presence of concentrated sulfuric acid to form silicon tetrafluoride, and recovering the hydrogen fluoride.
Abstract: A process is provided for converting silicon and fluorine-containing waste gases into silicon dioxide and hydrogen fluoride, absorbing the waste gases in water to form hydrofluosilicic acid, decomposing the hydrofluosilicic acid in the presence of concentrated sulfuric acid to form silicon tetrafluoride and hydrogen fluoride, converting the silicon tetrafluoride in the vapor phase to silica and hydrogen fluoride, and recovering the hydrogen fluoride.

28 citations



Patent
Gary Roberts1
28 Jun 1974
TL;DR: An improved method for the manufacture of semiconductor devices which inhibits the dissolution of substrate silicon from the area of the substrate exposed by a contact window was proposed in this paper, where two individual layers are disposed on a protective insulative layer such as silicon dioxide which is itself disposed upon a semiconductor wafer.
Abstract: An improved method for the manufacture of semiconductor devices which inhibits the dissolution of substrate silicon from the area of the substrate exposed by a contact window Two individual layers are disposed on a protective insulative layer such as silicon dioxide which is itself disposed upon a semiconductor wafer The first layer on the insulating layer is silicon and the second is aluminum The improvement consists of forming these two layers in specific amounts and with high precision An aluminum interconnect pattern is then defined using standard photomask and aluminum etch techniques In a separate step, the silicon is etched using plasma etching techniques The remaining Al/Si layers are homogenized into an alloy to form the ohmic contacts by heating the wafer in a furnace at high temperatures

25 citations


Journal ArticleDOI
TL;DR: In this article, the morphology of surface reactions for Au/SiO2/Si structures has been studied as a function of environment, and it was found that the reaction between thin gold film dots and thermally oxidized Si was strongly influenced by the partial pressure of oxygen.
Abstract: The morphology of surface reactions for Au/SiO2/Si structures has been studied as a function of environment. It was found that the reaction between thin gold film dots and thermally oxidized Si was strongly influenced by the partial pressure of oxygen. When samples are held at elevated temperatures (above 500 °C) in the dynamic vacuum, an apparent decomposition of the oxide film with subsequent migration of Au along the exposed silicon surface was observed. The migration was found to follow the crystallographic directions of the silicon. Reduction of the oxide was dependent on the presence of the Au layer as regions free of Au remained intact. The reaction as a function of time, temperature, SiO2 thickness, and ambient conditions has been studied using optical microscopy as well as electron microprobe, microscopy, and diffraction techniques.

Patent
22 Oct 1974
TL;DR: In this article, an article composed of a silicon elastomer and which includes activated silicon dioxide as a filler is subjected to ion bombardment and the effects achieved are due to rupture of the silicon-oxygen and/or silicon-carbon bonds of the article during the ion bombardment, that is, the kinetic energy of the ions is sufficient to break these bonds.
Abstract: An article composed of a silicon elastomer and which includes activated silicon dioxide as a filler is subjected to ion bombardment. The nature and energy of the ions are such that certain regions of the outer silicone elastomer layers of the articles are destroyed while, at the same time, the silicon dioxide filler is exposed at these regions. The nature and energy of the ions are also such that the remaining regions of the outer silicon elastomer layers of the article are transformed into activated silicon dioxide. As a result, the surface of the article becomes hydrophilic. The effects achieved are due to rupture of the silicon-oxygen and/or silicon-carbon bonds of the silicon elastomer during the ion bombardment, that is, the kinetic energy of the ions is sufficient to break these bonds. The ions used are activated gas ions formed by gaseous discharge and the ions generally have a kinetic energy between 3 and 50 electron volts. Preferably, oxygen ions are utilized for the ion bombardment. The ion bombardment may be carried out in an atmosphere consisting essentially of oxygen or consisting essentially of oxygen and small amounts of water vapor. The pressure in the atmosphere may lie between 10 - 1 and 10 3 torr. Improved results may be obtained when, subsequent to the ion bombardment, the article is contacted with water having a temperature in excess of 80°C, and particularly when the article is contacted with water vapor subsequent to the ion bombardment.


Patent
F. Hubbard Horn1
16 Aug 1974
TL;DR: In this article, a silicon dioxide passivation layer is first formed over the surface of the semiconductor and a thin layer of amorphous silicon nitride, impervious to alkali ion penetration is deposited over the silicon dioxide.
Abstract: Improved method for fabricating semiconductor devices including insulating layer over semiconductor body and metallic member over insulating layer prevents degradation of insulator by impurity ion, principally alkali ion, penetration. In accord with the process, a silicon dioxide passivation layer is first formed over the surface of the semiconductor and a thin layer of amorphous silicon nitride, impervious to alkali ion penetration is deposited over the silicon dioxide. Combined steps provide insulator which has excellent insulating properties of silicon dioxide and impermeability to alkali ions of amorphous silicon nitride.

Journal ArticleDOI
TL;DR: The depth distribution of phosphorus in silicon dioxide formed by reacting phosphine and silane with oxygen at 480°C, has been measured with Auger spectroscopy using ramp-etched silicon dioxide films and in situ ion milling.
Abstract: The depth distribution of phosphorus in silicon dioxide formed by reacting phosphine and silane with oxygen at 480°C, has been measured with Auger spectroscopy using (i) ramp‐etched silicon dioxide films and (ii) in situ ion milling. Quantitative Auger analysis showed the phosphorus concentration to be 0.5 at.% throughout most of the oxide. However, when grown directly on silicon, a phosphorusrich oxide, [sine wave] 30 A thick and containing > 3 at.% phosphorus, was first deposited, followed by a phosphorus‐depleted region, [sine wave] 150 A thick; both regions formed within the first minute of deposition and probably resulted from a rapid initial reaction of phosphine with the silicon surface. Neither region is formed when the doped oxide is deposited on silicon dioxide, and undoped oxides < 200 A thick were successfully used to eliminate the phosphorus‐rich layer. There was negligible diffusion (≲100 A ) of phosphorus from a doped oxide containing 0.5 at.% phosphorus into steam oxide after heating for ...


Journal ArticleDOI
TL;DR: In this paper, a new method is described for preparation of stable glass capillary columns, which utilizes the initial incorporation of unsilanized fumed silicon dioxide on the inner capillary wall (Cab-O-Caps).

Journal ArticleDOI
TL;DR: The new tin-113/indium-113 m generator systems were developed to produce high purity 113 In m with high efficiency when using dilute hydrochloric acid as the eluant and the silica gel system had superior operating characteristics when compared to the HZO system: higher recovery of113 In m over a greater pH range was observed.

Patent
15 May 1974
TL;DR: In this article, a positive diffusion transfer reversal image is formed in a light-sensitive monosheet material, wherein the image is made visible against a white pigment layer that covers the negative image produced in the monosheets.
Abstract: Photographic diffusion transfer reversal process in which a positive diffusion transfer reversal image is formed in a light-sensitive monosheet material, wherein said image is made visible against a white pigment layer that covers the negative image produced in the monosheet, wherein said white pigment layer contains a white titanium dioxide pigment that has been modified by precipitation thereon of hydrated aluminium oxide or of hydrated aluminium oxide together with hydrated silicon dioxide.

Patent
11 Mar 1974
TL;DR: In this paper, a two-step process is performed where the silicon dioxide is first reacted with hydrogen to produce silicon monoxide gas and water and thereafter the silicon carbon dioxide gas is reacted with both hydrogen and nitrogen to generate silicon nitride and water.
Abstract: This is a method of producing silicon nitride in a plasma arc furnace utilizing silicon metal or silicon dioxide as a starting material. When silicon metal is used it is reacted directly with a nitrogen bearing gas to produce silicon nitride. When silicon dioxide is used a two-step process is performed wherein the silicon dioxide is first reacted with hydrogen to produce silicon monoxide gas and water and thereafter the silicon monoxide gas is reacted with hydrogen and nitrogen to produce silicon nitride and water.

Patent
28 May 1974
TL;DR: A method of manufacturing molded structures and coatings based on curable inorganic binding agents and water which comprises coating shape-imparting surfaces made from materials such as wood, plaster, plastic or iron, with a mixture containing a curable organosilicon compound, a solvent and finely dispersed solid particles, such as silicon dioxide, quartz powder, diatomaceous earth and silicious chalk, applying the building materials, curing and removing the molded structures as discussed by the authors.
Abstract: A method of manufacturing molded structures and coatings based on curable inorganic binding agents and water which comprises coating shape-imparting surfaces made from materials such as wood, plaster, plastic or iron, with a mixture containing a curable organosilicon compound, a solvent and finely dispersed solid particles, such as silicon dioxide, quartz powder, diatomaceous earth and silicious chalk, applying the building materials, curing and removing the molded structures.


Journal ArticleDOI
TL;DR: The diffusion of phosphorus into silicon from doped oxide layers, deposited at low temperatures, has been studied in order to achieve reproducible impurity distributions with surface concentrations varying from 5 × 1015 to 1018 atoms/cm3.
Abstract: The diffusion of phosphorus into silicon from doped oxide layers, deposited at low temperatures, has been studied in order to achieve reproducible impurity distributions with surface concentrations varying from 5 × 1015 to 1018 atoms/cm3. Special attention has been given to the differences arising from indiffusion in an N2 or in an O2 ambient. The dependence on the temperature of the diffusion coefficients of phosphorus in silicon and in silicon dioxide is determined at a surface concentration of 5 × 1016 atoms/cm3.

Patent
21 Jan 1974
TL;DR: In this article, an improved metallic catalyst of high surface area is prepared from an alloy comprising a silicon and a second metal which is soluble in oxidizing acids, and the alloy is subsequently heated in an atmosphere of inert gas to which a small amount of oxygen is added to convert the silicon at the surface of the alloy to silicon dioxide.
Abstract: An improved metallic catalyst of high surface area is prepared from an alloy comprising a silicon and a second metal which is soluble in oxidizing acids. This alloy is contacted with an oxidizing acid for a time period and at a temperature and acid concentration sufficient to dissolve a portion of the second metal. The alloy is subsequently heated in an atmosphere of inert gas to which a small amount of oxygen is added to convert the silicon at the surface of the alloy to silicon dioxide.


Proceedings ArticleDOI
01 Jan 1974
TL;DR: In this article, a monolithic surface wave convolver was used to image one-dimensional optical patterns, store them for several days, and read them out nondestructively, which has potential application for memory or improved convolution and correlation devices.
Abstract: Summary form only given, as follows. A monolithic surface wave convolver has been used to image one-dimensional optical patterns, store them for several days, and read them out nondestructively. The configuration consists of a silicon substrate with the top surface covered by a l000A thermal oxide and a one micron sputtered piezoelectric zinc oxide film. The zinc oxide allows the excitation of surface waves on non-piezoelectric silicon using interdigi tal transducers, and creates surface wave electric fields which couple to carriers in the silicon. Changes in the carrier concentration at the silicon surface (caused for example by illumination) dramatically affect the surface wave convolution, which can thus be used to detect an optical pattern. A light pattern is stored by projecting it onto a semitransparent top convolver electrode that has an applied negative de bias. Slow states associated with the zinc oxide, probably at the zinc oxide - silicon dioxide interface, are charged in the regions exposed to the light. This charge pattern, as observed using surface wave convolution, persists for as long as five days after the light is removed. The effect has potential application for memory or improved convolution and correlation devices.


01 Aug 1974
TL;DR: In this paper, a reflecting heat shield composed of fused silica in which the scattering results from the refractive index mismatch between silica particles and the voids introduced during the fabrication process is developed.
Abstract: A reflecting heat shield composed of fused silica in which the scattering results from the refractive index mismatch between silica particles and the voids introduced during the fabrication process is developed. Major considerations and conclusions of the development are: the best material to use is Type A, which is capable of ultra-high-purity and which does not show the 0.243 micrometer absorption band; the reflection efficiency of fused silica is decreased at higher temperatures due to the bathochromic shift of the ultraviolet cut-off; for a given silica material, over the wavelength region and particle sizes tested, the monodisperse particle size configurations produce higher reflectances than continuous particle size configurations; and the smaller monodisperse particle size configurations give higher reflectance than the larger ones. A reflecting silica configuration that is an efficient reflector of shock layer radiation at high ablation temperatures is achieved by tailoring the matrix for optimum scattering and using an ultra-high-purity material.

Patent
10 Jan 1974
TL;DR: In this article, a method for producing an oxygen containing silicon compound free of silicon dioxide was proposed, which is accomplished by keeping the partial pressure of the oxygen at the reaction temperature lower than 10 16 atmospheres.
Abstract: The invention relates to a method for producing an oxygen containing silicon compound free of silicon dioxide. This is accomplished by keeping the partial pressure of the oxygen at the reaction temperature lower than 10 16 atmospheres. In one embodiment the atmosphere consists of nitrogen and a small amount of oxygen in some form such as carbon monoxide. In other embodiments nitrogen may be replaced by hydrogen or an inert gas.