scispace - formally typeset
Search or ask a question

Showing papers on "Silicon dioxide published in 1982"


Patent
02 Jul 1982
TL;DR: In this paper, a silicon dioxide film was used to improve the characteristics of a transistor to a large extent by depositing a silicon oxide film on a silicon thin film from the outside, thereafter performing thermal oxidation, thereby making the thickness of the silicon thin thin film further thin.
Abstract: PURPOSE:To improve the characteristics of a transistor to a large extent, by depositing a silicon dioxide film on a silicon thin film from the outside, thereafter performing thermal oxidation, thereby making the thickness of the silicon thin film further thin. CONSTITUTION:A silicon thin film 302 is deposited on an n insulating substrate 301. The film thickness is made to be, e.g. t0=500Angstrom . Then, a silicon dioxide film 303 is deposited on the entire surface. The film thickness is made to be, e.g. toxo=1,300Angstrom . In this case, the silicon dioxide film can be formed by any method if the film is not formed by oxidizing the silicon thin film but is directly depsited from the outside. Thermal oxidation treatment is performed, and a film thickness 304 of the silicon dioxide film on the silicon thin fil is grown. The final film thickness of the silicon thin film becomes t1=400Angstrom by the thermal oxidation. After a gate electrode 305 has been formed, impurities are introduced into the silicon thin film, and a source region 306 and a drain region 307 are formed. Then, an interlayer insulating film 308 is deposited, contact holes are provided, and a source electrode 309 and a drain electrode 310 are formed.

140 citations


Journal ArticleDOI
TL;DR: The addition of n-propylamine enhances silanization of γ-methacryloxypropyltrimethoxysilane to silica surfaces in normal aliphatic hydrocarbons, and cyclohexane yields a more water-resistant silica-silane bond, and improves the diametral tensile strength of the composite.
Abstract: Interfacial bonding and stability of gamma-methacryloxypropyltrimethoxysilane with silica surfaces have been studied by means of infrared spectroscopy The addition of n-propylamine enhances silanization of gamma-methacryloxypropyltrimethoxysilane to silica surfaces in normal aliphatic hydrocarbons, and cyclohexane yields a more water-resistant silica-silane bond, and improves the diametral tensile strength of the composite

129 citations


Journal ArticleDOI
TL;DR: In this paper, MOS capacitors were formed on silicon substrates and their electrical properties were evaluated by capacitance-voltage (C‐V) measurements, which indicated a midgap density of surface states NSS = 2×1011 cm−2eV−1 for SiO2 layers deposited at 300°C.
Abstract: Silicon dioxide layers were formed by reacting silane with oxygen. The oxygen was ionized in an rf discharge chamber physically removed from the deposition zone. The excited oxygen species, which left the ionization chamber, reacted with silane regardless of the temperature, during deposition, to be chosen as an important parameter and at the same time avoided the adverse effects of placing the sample within an rf discharge. MOS capacitors were formed on silicon substrates and their electrical properties were evaluated by capacitance‐voltage (C‐V) measurements. An analysis of the quasistatic C‐V data indicates a midgap density of surface states NSS = 2×1011 cm−2eV−1 for SiO2 layers deposited at 300 °C.

119 citations


Journal ArticleDOI
TL;DR: In this paper, a modified Ritchie-Hunt theory was proposed to explain the nitridation of silicon and oxidized silicon, which assumes that a very slow surface reaction at the ammonia-nitride interface is the rate determining factor, using the logarithmic rate law.
Abstract: The nitridation of silicon and oxidized‐silicon has been studied. The nitrided films were prepared at 900°–1150°C under ammonia partial pressures of 10−3 to 5 kg/cm2 in nitrogen and were analyzed by ellipsometry and Auger electron spectroscopy. For films formed by nitridation of silicon, we found that the growth kinetics and properties such as chemical composition, etching rate, and oxidation resistance were independent of the ammonia partial pressure. The nitridation of silicon can be explained by a modified Ritchie‐Hunt theory, which assumes that a very slow surface reaction at the ammonia‐nitride interface is the rate‐determining factor, using the logarithmic rate law. According to this modified Ritchie‐Hunt theory, the nitridation of silicon proceeds mainly by cation migration under a constant electric field. On the other hand, it was found that the nitridation of oxidized‐silicon depended strongly on the ammonia parital pressure. This dependence may be caused by diffusion of ammonia or its derivatives through the oxide. The conversion of silicon dioxide to silicon oxynitride occurred throughout the oxide.

116 citations


Journal ArticleDOI
TL;DR: In this article, the stresses of thermally grown and chemically vapor deposited (CVD) silicon dioxide were measured by the cantilever beam technique using x-ray diffraction, and it was concluded that their average linear thermal expansion coefficient in the temperature range of −170-115 C is 4×10−6 °C−1, while their biaxial elastic modulus is only 4.6-5.1×1011 dyn/cm2.
Abstract: The stresses of thermally grown as well as chemically vapor deposited (CVD) silicon dioxide were measured by the cantilever beam technique using x‐ray diffraction. Thermally grown oxide shows reversible stress changes upon heating or cooling of the films. The linear thermal expansion of such films is similar to that of bulk vitreous silica, 5×10−7 °C−1, the biaxial elastic modulus was found to be 6.3×1011 dyn/cm2. CVD oxides show extensive hysteresis in the stress‐temperature curves when tested in ambient air. From stress measurements of such films, deposited on Si and GaAs, it was concluded that their average linear thermal expansion coefficient in the temperature range of −170–115 °C is 4×10−6 °C−1, much higher than that of thermally grown oxide, while their biaxial elastic modulus is only 4.6–5.1×1011 dyn/cm2. The stress in such films was found to increase when the films were exposed to a dry ambient or vacuum. The time constant for this change was found to be several minutes at room temperature.

109 citations


Patent
23 Dec 1982
TL;DR: In this paper, an amorphous, spherical inorganic compound having a particle size of 0.1 to 1.0 µm is described, which comprises an oxide of at least one metal selected from the group consisting of metals of the Groups I, II, III and IV of the Periodic Table, said metal oxide being capable of combining with silicon dioxide, and silica.
Abstract: Disclosed is an amorphous, spherical inorganic compound having a particle size of 0.1 to 1.0 μm, which comprises as main constituents (1) an oxide of at least one metal selected from the group consisting of metals of the Groups I, II, III and IV of the Periodic Table, said metal oxide being capable of combining with silicon dioxide, and (2) silica. This amorphous, spherical inorganic compound is prepared by a process comprising adding a mixed solution containing a hydrolyzable organic silicon compound and a hydrolyzable organic compound of at least one metal (M) selected from the group consisting of metals of the Groups I, II, III and IV of the Periodic Table to an alkaline solvent capable of dissolving said organic silicon compound and said organic metal compound therein but substantially incapable of dissolving a reaction product of both the organic silicon compound and the organic metal compound therein, effecting the hydrolysis to precipitate the reaction product and, if necessary, calcining the reaction product.

95 citations


Journal ArticleDOI
TL;DR: In this paper, SiO 2, with some impurities, such as hydrocarbon groups and water, was used for the preparation of high quality gate oxides for metal/oxide/semiconductor (MOS) studies.

71 citations


Journal ArticleDOI
TL;DR: In this article, the shrinkage and growth of preexisting oxidation-induced stacking faults during thermal nitridation of silicon without oxide film and of oxidized silicon with oxide film 23 to 5600 A was studied.
Abstract: We have studied the shrinkage and growth of preexisting oxidation‐induced stacking faults during thermal nitridation of silicon without oxide film and of oxidized silicon with oxide film 23 to 5600 A thick. Nitridation was carried out at 1050 to 1200 °C under ammonia partial pressures of 10−3 to 4 kg/cm2. We observed that stacking faults in silicon without oxide film shrink linearly with nitridation time and their shrinkage rate increased as the partial pressure of ammonia increased. On the other hand, stacking faults in oxidized silicon with oxide film grew during nitridation and their growth rate increased with the increase of ammonia partial pressure after the pressure reached about 10−1 kg/cm2 and with the increase of the thickness of the oxide film. Based on these results, we have proposed a model which assumes that in the shrinkage phenomenon, an undersaturation of silicon self‐interstitials occurs near the silicon surface because of silicon‐cation migration from the silicon‐nitride interface to the nitride surface. The model also assumes that the growth phenomenon occurs because of the supersaturation of silicon self‐interstitials, which are generated by the reaction of ammonia with silicon dioxide and are injected into the bulk of silicon through the silicon‐nitride interface. The projected results of this model agree reasonably well with the experimental results.

46 citations


Journal ArticleDOI
TL;DR: The Raman spectrum from pure silica optical fibers has been analyzed into a set of Gaussian components, which have analog in the infrared absorption spectrum, and hence are of value in studying the fundamental and overtone infrared absorption of silica, as well as the infrared OH overtone and combination spectra.
Abstract: : The Raman spectrum from pure silica optical fibers has been analyzed into a set of Gaussian components. Each component used is related to an observed feature of the spectrum, and a residual of about 1% resulted without the use of additional components. Both single- and multi-phonon peaks were fitted. These Gaussian components have analog in the infrared absorption spectrum, and hence they are of value in studying the fundamental and overtone infrared absorption of silica, as well as the infrared OH overtone and combination spectra. (Author)

45 citations


Journal ArticleDOI
TL;DR: The flexibility of the silica framework, consisting of [SiO4] tetrahedra bonded together into a giant polymeric “open molecule” by sharing oxygen atoms, is primarily due to the easy adjustment of the SiO ǫSi angles as mentioned in this paper.

39 citations


Journal ArticleDOI
TL;DR: In this article, it was shown that the addition of silicon to the culture solution, at the rate of 75 ppm Si, decreased the accumulation of Mn, Cu, Fe, Zn, N, P and transpiration rate, but increased Ca, Mg, Si and carbohydrate contents.
Abstract: Silicon may be regarded as an essential element to cereals plant from an agronomic viewpoint. It is implicated as a factor influencing the degree of susceptibility of cereals to fungal attack. Vegetation in the tropics contains much more silicon for the protection. Once the silicon dioxide has been taken up by plants, it is rapidly accumulated in insoluble form and remains in the tissues. Yield response over the control will not be obtained if available silicon exceeds 11 mg SiO2/100 g in the soil. The addition of silicon to the culture solution, at the rate of 75 ppm Si, decreased the accumulation of Mn, Cu, Fe, Zn, N, P and transpiration rate, but increased Ca, Mg, Si and carbohydrate contents. It is concluded that addition of silicon is particularly effective when combined with a heavy rate of nitrogen and magnesium.


Patent
04 Feb 1982
TL;DR: In this paper, a crucible for semiconductor technology purposes, especially for the production of silicon crystals, comprising an outer layer portion or layer of silicon dioxide, especially an outer made from granular natural quartz, and an inner lining made from synthetic crystalline quartz is described.
Abstract: A crucible for semiconductor technology purposes, especially for the production of silicon crystals, comprising an outer layer portion or layer of silicon dioxide, especially an outer made from granular natural quartz, and an inner lining made from synthetic crystalline quartz is described. The inner lining has on its interior surface a thin amorphous layer suitably made by heating a synthetic quartz layer disposed over a granular natural quartz layer at a sufficient temperature for a sufficient period of time to convert at least a portion of the synthetic crystalline quartz to the amorphous state.

Patent
23 Jun 1982
TL;DR: In this paper, a pattern of stripes is created on this encapsulation layer made of materials, such as titanium, silicon, silicon dioxide and photoresist, and a long and narrow molten zone is created in the film with its long axis oriented perpendicular to the lines.
Abstract: A process for entraining dislocations and other crystalline defects in a thin film includes coating a substrate, such as a layer of thermally grown silicon dioxide on a silicon wafer with the thin film of polycrystalline or amorphous silicon in the thickness range 0.05-10μ deposited by chemical vapor deposition. An encapsulation layer that is a composite of 2 μm thickness SiO2, 30 nm of Si3 N4 is deposited on the thin film. A pattern of stripes is created on this encapsulation layer made of materials, such as titanium, silicon, silicon dioxide and photoresist. A long and narrow molten zone is created in the film with its long axis oriented perpendicular to the lines and is moved with a movable strip-heater over in a direction parallel to the lines in the recrystallization process to establish the dislocation and other crystalline defects in the film entrained to follow the pattern of stripes at locations related to the stripes.

Patent
12 Jul 1982
TL;DR: In this paper, a process for forming memory quality silicon dioxide and silicon nitride dual-dielectric structures in the same LPCVD furnace system by: forming the silicon dioxide at atmospheric pressure at a temperature of 700°-850° using dry oxygen.
Abstract: A process for forming memory quality silicon dioxide and silicon nitride dual-dielectric structures in the same LPCVD furnace system by: forming the silicon dioxide at atmospheric pressure at a temperature of 700°-850° using dry oxygen; heat treating the silicon dioxide layer in ammonia; and forming silicon nitride at 400-600 millitorr and 700°-850° C. using dichlorosilane and ammonia. Optionally, a dielectric layer of silicon oxynitride can be formed on the oxide by using N 2 O, ammonia and dichlorosilane obtaining a memory device with improved retention and endurance.

Journal ArticleDOI
TL;DR: In this paper, an excimer laser is used to photochemically deposit thin films of silicon dioxide, silicon nitride, aluminum oxide, and zinc oxide at low temperatures (100-350deg;C).
Abstract: An excimer laser is used to photochemically deposit thin films of silicon dioxide, silicon nitride, aluminum oxide, and zinc oxide at low temperatures (100–350deg;C). Deposition rates in excess of 3000 A/min and conformal coverage over vertical walled steps were demonstrated. The films exhibit low defect density and high breakdown voltage and have been characterized using IR spectrophotometry, AES, and C-V analysis. Device compatibility has been studied by using photodeposited films as interlayer dielectrics, diffusion masks, and passivation layers in production CMOS devices. Additionally, we have deposited metallic films of Al, Mo, W, and Cr over large (>5 cm 2 ) areas using UV photodissociation of trimethylaluminum and the refractory metal hexacarbonyls. Both shiny metallic films as well as black particulate films were obtained depending on the deposition geometry. The black films are shown to grow in columnar grains. The depositions were made at room temperature over pyrex and quartz plates as well as silicon wafers. We have examined the resistivity, adhesion, stress and step coverage of these films. The films exhibited resistivities at most ∼20 times that of the bulk materials and tensile stress no higher than 7 × 10 9 dynes/cm 2

Journal ArticleDOI
TL;DR: In an effort to better understand the cytotoxic effects of various silicas, the membranolytic potential of several crystalline and amorphous forms of silicon dioxide (SiO2) were measured using human erythrocytes as a target cell as discussed by the authors.


Journal ArticleDOI
01 Jan 1982-Vacuum
TL;DR: In this article, it is shown that fluorine atoms and molecules are the main particles responsible for etching silicon and that atomic fluorine is the main active particle for silicon dioxide.

Patent
04 Feb 1982
TL;DR: In this paper, a stable dispersion of a lubricating fluid such as a natural or synthetic petroleum distillate, and a finely divided polymeric fluorocarbon powder such as polytetrafluoroethylene such as Teflon and a silicon dioxide powder, such as fumed silica, is provided.
Abstract: A lubricating composition is provided which is a stable dispersion of a lubricating fluid such as a natural or synthetic petroleum distillate, and a finely divided polymeric fluorocarbon powder such as polytetrafluoroethylene such as Teflon and a silicon dioxide powder such as a fumed silica. The composition is best provided by utilization of a disc impeller at elevated temperature to attain a moisture-free, even dispersion of the Teflon and the silica in the fluid. The lubricating composition is particularly useful in wide temperature range service, particularly so at extremely high and low temperatures.

Journal ArticleDOI
TL;DR: In this article, the formation of silicon dioxide films on the tantalum disilicide/polycrystalline silicon composite structures in a dry O2 ambient was investigated for all temperatures evaluated in the range of 800-1000 °C.
Abstract: The oxidation kinetics of tantalum disilicide/polycrystalline silicon composite structures in a dry O2 ambient have been investigated. The formation of silicon dioxide films on the tantalum disilicide was observed for all temperatures evaluated in the range of 800–1000 °C. The oxidation is postulated to occur as a result of the diffusion of silicon from the underlying polycrystalline silicon film through the TaSi2 film. The linear oxidation rate constant is substantially higher than that for the oxidation of single crystal silicon. The oxidation rate was found to be primarily controlled by the diffusion of the oxidant species through the forming oxide resulting in parabolic growth. The parabolic rate constant determined is similar to that obtained in the oxidation of single‐crystal silicon with an activation energy of approximately 28 kcal/mol. This value has been previously related to the diffusivity of oxygen through fused silica.

Journal ArticleDOI
TL;DR: In this paper, the effect and use of locally varied encapsulation thickness has been demonstrated in laser-induced lateral epitaxial growth of silicon (Si) layers over silicon dioxide (SiO2) islands.
Abstract: The effect and use of locally varied encapsulation thickness has been demonstrated in cw Ar laser‐ induced lateral epitaxial growth of silicon (Si) layers over silicon dioxide (SiO2) islands. The reflectivity of the laser light has been separately controlled in each region of the Si seed or the SiO2 island by changing the thicknesses of SiO2 and/or silicon nitride (Si3N4) caps. The technique essentially eliminates the surface ripples and thermal detachment of the laser‐recrystallized Si layer, producing single crystalline layers over SiO2 islands as large as 15×80 μm and 20×40 μm.

Patent
20 May 1982
TL;DR: In this article, a silicon nitride substrate body consisting essentially of a first phase of silicon oxide and a refractory second phase comprising silicon dioxide and an effective amount of a densification aid selected from the group consisting of silicon dioxide, aluminum oxide, magnesium oxide, yttrium oxide, hafnium oxide, zirconium oxide and mixtures thereof.
Abstract: Cutting tools and cutting tool inserts having improved mechanical and chemical wear resistance under demanding conditions of machining speed, temperature, or workpiece hardness comprise a silicon nitride substrate body having at least one hard, adherent coating layer of a refractory metal carbonitride. The silicon nitride substrate body consists essentially of a first phase of silicon nitride and a refractory second phase comprising silicon nitride and an effective amount of a densification aid selected from the group consisting of silicon dioxide, aluminum oxide, magnesium oxide, yttrium oxide, hafnium oxide, zirconium oxide, the lanthanide rare earth oxides, and mixtures thereof.

Patent
27 Dec 1982
TL;DR: In this article, Nacreous pigments have improved transparency and tinctorial strength and based on mica flakes coated with metal oxides, have a metal oxide layer which is formed as a homogeneous mixed layer in which, in addition to titanium dioxide, there also is present silicon dioxide and aluminum oxide.
Abstract: Nacreous pigments having improved transparency and tinctorial strength and based on mica flakes coated with metal oxides, have a metal oxide layer which is formed as a homogeneous mixed layer in which, in addition to titanium dioxide, there also is present silicon dioxide and aluminum oxide. These can be produced by coating mica in an aqueous suspension with a titanium dioxide hydrate layer and then washing, drying and calcining. Silicon dioxide and aluminum hydroxide are precipitated as a uniform layer together with the titanium dioxide hydrate. The new pigments can be used for pigmenting plastics, lacquers, paints and cosmetics, inter alia.


Journal Article
TL;DR: Tribological studies and X-ray photoelectron spectroscopy analyses were conducted with sintered polycrystalline and single crystal silicon carbide surfaces in sliding contact with iron at various temperatures to 1500 C in a vacuum of 30 nPa.
Abstract: Tribological studies and X-ray photoelectron spectroscopy analyses were conducted with sintered polycrystalline and single crystal silicon carbide surfaces in sliding contact with iron at various temperatures to 1500 C in a vacuum of 30 nPa. The results indicate that there is a significant temperature influence on both the friction properties and the surface chemistry of silicon carbide. The main contaminants on the as received sintered polycrystalline silicon carbide surfaces are adsorbed carbon, oxygen, graphite, and silicon dioxide. The surface revealed a low coefficient of friction. This is due to the presence of the graphite on the surface. At temperatures of 400 to 600 C graphite and copious amount of silicon dioxide were observed on the polycrystalline silicon carbide surface in addition to silicon carbide. At 800 C, the amount of the silicon dioxide decreased rapidly and the silicon carbide type silicon and carbon peaks were at a maximum intensity in the XPS spectra. The coefficients of friction were high in the temperature range 400 to 800 C. Small amounts of carbon and oxygen contaminants were observed on the as received single crystal silicon carbide surface below 250 C. Silicon carbide type silicon and carbon peaks were seen on the silicon carbide in addition to very small amount of graphite and silicon dioxide at temperatures of 450 to 800 C.

Journal ArticleDOI
TL;DR: In this article, a model is developed to predict the boundary spacing as a function of scan rate and temperature gradient, and the model is used to predict boundary spacing for flat panel displays.
Abstract: The lure of flat panel displays has stimulated much research on the crystallization of silicon films deposited on large-area transparent substrates In most respects, fused quartz is ideal It has high purity, thermal shock resistance, and a softening point above the silicon melting temperature Unfortunately, fused quartz has such a small thermal expansion that the silicon film cracks as it cools This problem has been attacked by patterning with islands or moats before and after crystallization, by capping, and by using silicate glass substrates that match the thermal expansion of silicon The relative merits of these methods are compared Melting of the silicon film to achieve high mobility has been accomplished by a variety of methods including lasers, electron beams, and strip heaters For low melting temperature glasses, surface heating with a laser or electron beam is essential Larger grains are obtained with the high bias temperature, strip heater techniques The low-angle grain boundaries characteristic of these films may be caused by constitutional undercooling A model is developed to predict the boundary spacing as a function of scan rate and temperature gradient


Patent
26 Mar 1982
TL;DR: In this paper, a thin film deposit of a binary glass for use in integrated circuits which binary glass has a softening or flow point far below temperatures at which glasses normally used in connection with integrated circuits flow is described.
Abstract: The method of the invention provides a thin film deposit of a binary glass for use in integrated circuits which binary glass has a softening or flow point far below temperatures at which glasses normally used in connection with integrated circuits flow After the binary glass has been deposited (on a semiconductor substrate), it is heated and reflowed Preferably the glass comprises a mixture of germanium dioxide and silicon dioxide wherein the germanium dioxide is no greater than approximately 50 mole percent of the mixture Phosphorus is added to the glass film for passivation of the underlying devices

Patent
13 Feb 1982
TL;DR: In this article, the authors proposed a method to obtain an ideal impurity distribution characteristic by injecting impurities to a polycrystal silicon layer on a silicon dioxide film, laser beams are irradiated and the layer is changed into monocrystal silicon.
Abstract: PURPOSE:To obtain an ideal impurity distribution characteristic gy a method wherein impurities are injected to a polycrystal silicon layer on a silicon dioxide film, laser beams are irradiated and the layer is changed into monocrystal silicon. CONSTITUTION:The polycrystal silicon semiconductor layer not doped is grown on the silicon dioxide film 1, boron ions are injected to the layer, the laser beams are irradiated and the polycrystal silicon semiconductor layer is turned into the monocrystal silicon semiconductor layer 2. When the laser beams are irradiated and the polycrystal silicn is converted into the monocrystal silicon, the polycrystal silicon is melted once, and impurities are rapidly diffused homogeneously. Impurities are introduced in order to control threshold voltage by driving in boron ions again after forming a gate oxide film on the semiconductor layer 2.