scispace - formally typeset
Search or ask a question

Showing papers by "ASML Holding published in 2006"


Patent
08 Aug 2006
TL;DR: In this article, a method to determine a property of a substrate by measuring, in the pupil plane of a high numerical aperture lens, an angle-resolved spectrum as a result of radiation being reflected off the substrate was proposed.
Abstract: An apparatus and method to determine a property of a substrate by measuring, in the pupil plane of a high numerical aperture lens, an angle-resolved spectrum as a result of radiation being reflected off the substrate. The property may be angle and wavelength dependent and may include the intensity of TM- and TE-polarized radiation and their relative phase difference.

400 citations


Patent
Mandeep Singh1
16 Nov 2006
TL;DR: In this article, a surface plasmon resonance was used to detect contamination of a surface within the lithographic apparatus. But this was performed with a measurement apparatus constructed and arranged to use surface resonance.
Abstract: A lithographic apparatus is provided with a measurement apparatus constructed and arranged to use surface plasmon resonance to detect contamination of a surface within the lithographic apparatus.

282 citations


Patent
Jun Ye1, Yu Cao1, Luoqi Chen1, Hua-yu Liu1
02 Aug 2006
TL;DR: In this article, a system and a method for creating a focus-exposure model of a lithography process is described, which utilizes calibration data along multiple dimensions of parameter variations, in particular within an exposure-defocus process window space.
Abstract: A system and a method for creating a focus-exposure model of a lithography process are disclosed The system and the method utilize calibration data along multiple dimensions of parameter variations, in particular within an exposure-defocus process window space The system and the method provide a unified set of model parameter values that result in better accuracy and robustness of simulations at nominal process conditions, as well as the ability to predict lithographic performance at any point continuously throughout a complete process window area without a need for recalibration at different settings With a smaller number of measurements required than the prior-art multiple-model calibration, the focus-exposure model provides more predictive and more robust model parameter values that can be used at any location in the process window

163 citations


Patent
Jun Ye1, Moshe E. Preil1, Xun Chen1, Shauh-Teh Juang1, James N. Wiley1 
24 Aug 2006
TL;DR: In this article, a method for identifying process window signature patterns in a device area of a mask is disclosed, which collectively provide a unique response to changes in a set of process condition parameters to the lithography process.
Abstract: A method for identifying process window signature patterns in a device area of a mask is disclosed. The signature patterns collectively provide a unique response to changes in a set of process condition parameters to the lithography process. The signature patterns enable monitoring of associated process condition parameters for signs of process drift, analyzing of the process condition parameters to determine which are limiting and affecting the chip yields, analyzing the changes in the process condition parameters to determine the corrections that should be fed back into the lithography process or forwarded to an etch process, identifying specific masks that do not transfer the intended pattern to wafers as intended, and identifying groups of masks that share common characteristics and behave in a similar manner with respect to changes in process condition parameters when transferring the pattern to the wafer.

132 citations


Patent
17 Feb 2006
TL;DR: In this paper, an EUV plasma formation target delivery system and method is described, which may include a target droplet formation mechanism comprising a magneto-restrictive or electro-restrictionive material, a liquid plasma source material passageway terminating in an output orifice; a charging mechanism applying charge to a droplet forming jet stream or to individual droplets exiting the passageways along a selected path; a liquid droplet deflector intermediate the output-orifice and a plasma initiation site periodically deflecting droplets from the selected path.
Abstract: An EUV plasma formation target delivery system and method is disclosed which may comprise: a target droplet formation mechanism comprising a magneto-restrictive or electro-restrictive material, a liquid plasma source material passageway terminating in an output orifice; a charging mechanism applying charge to a droplet forming jet stream or to individual droplets exiting the passageway along a selected path; a droplet deflector intermediate the output orifice and a plasma initiation site periodically deflecting droplets from the selected path, a liquid target material delivery mechanism comprising a liquid target material delivery passage having an input opening and an output orifice; an electromotive disturbing force generating mechanism generating a disturbing force within the liquid target material, a liquid target delivery droplet formation mechanism having an output orifice; and/or a wetting barrier around the periphery of the output orifice.

90 citations


Proceedings ArticleDOI
22 Mar 2006
TL;DR: The ASML EUV alpha demo tool is operational, the tool performance is described, that vacuum is achieved in a few hours, and it is demonstrated that the optics contamination strategy mitigates degradation of the optics.
Abstract: The ASML EUV alpha demo tool is operational! The alpha demo tool is a 0.25NA fully functional lithography tool with a field size of 26x33 mm 2 , enabling process development at the 40-nm technology node. In this paper we describe the tool performance, show that vacuum is achieved in a few hours, and demonstrate that our optics contamination strategy mitigates degradation of the optics. Additional data shows the Sn source cost-of-ownership to be comparable to state-of-the-art ArF source systems, by implementing a collector contamination mitigation strategy that includes cleaning. And, we present our first 35-nm dense lines and spaces (half pitch) resist images.

84 citations


Patent
14 Aug 2006
TL;DR: In this paper, a position measurement system for measuring a position of an object is described, the system including a first incremental measurement unit for measuring first number of first distance steps in a distance between a reference frame and the object, wherein the first number equals a first integer value plus a first fraction.
Abstract: A position measurement system for measuring a position of an object is described, the system including: a first incremental measurement unit for measuring a first number of first distance steps in a distance between a reference frame and the object, wherein the first number equals a first integer value plus a first fraction, and a second incremental measurement unit for measuring a second number of second distance steps in a distance between the reference frame and the object, wherein the second number equals a second integer value plus a second fraction, wherein the position measurement system is constructed and arranged to initialize the second incremental measurement unit on the basis of the first number and the second fraction.

83 citations


Patent
02 Aug 2006
TL;DR: In this article, a method of using an in-situ aerial image sensor array is disclosed to separate and remove the focal plane variations caused by the sensor array nonflatness and/or by the exposure tool by collecting sensor image data at various nominal focal planes and determining best focus at each sampling location by analysis of the through-focus data.
Abstract: A method of using an in-situ aerial image sensor array is disclosed to separate and remove the focal plane variations caused by the image sensor array non-flatness and/or by the exposure tool by collecting sensor image data at various nominal focal planes and by determining best focus at each sampling location by analysis of the through-focus data. In various embodiments, the method provides accurate image data at best focus anywhere in the exposure field, image data covering an exposure-dose based process window area, and a map of effective focal plane distortions. The focus map can be separated into contributions from the exposure tool and contributions due to topography of the image sensor array by suitable calibration or self-calibration procedures. The basic method enables a wide range of applications, including for example qualification testing, process monitoring, and process control by deriving optimum process corrections from analysis of the image sensor data.

65 citations


Patent
Mandeep Singh1
28 Apr 2006
TL;DR: An embodiment of the invention provides a method to clean a surface as discussed by the authors, which includes at least part liberating contaminants from the surface with a contaminant liberating device, and capturing the contaminants that have been at least partly liberated with a contaminants removal device, the contaminant removal device generating at least one optical trap to trap the contaminants, and a lithographic apparatus.
Abstract: An embodiment of the invention provides a method to clean a surface. The method includes at least partly liberating contaminants from the surface with a contaminant liberating device, and capturing the contaminants that have been at least partly liberated with a contaminant removal device, the contaminant removal device generating at least one optical trap to trap the contaminants that have been at least partly liberated. Embodiments of the invention also provide a device manufacturing method, a method to clean a surface of an optical element, a cleaning assembly and cleaning apparatus, and a lithographic apparatus.

63 citations


Proceedings ArticleDOI
20 Oct 2006
TL;DR: This paper addresses DPT application challenges with respect to both mask error factor (MEF) and 2D patterning and achieves overall k1 factor that exceeds the conventional Rayleigh resolution limit.
Abstract: Double patterning technology (DPT) is a promising technique that bridges the anticipated technology gap from the use of 193nm immersion to EUV for the half-pitch device node beyond 45nm. The intended mask pattern is formed by two independent patterning steps. Using DPT, there is no optical imaging correlation between the two separate patterning steps except for the impact from mask overlay. In each of the single exposure step, we can relax the dense design pattern pitches by decomposing them into two half-dense ones. This allows a higher k1 imaging factor for each patterning step. With combined patterns, we can achieve overall k1 factor that exceeds the conventional Rayleigh resolution limit. This paper addresses DPT application challenges with respect to both mask error factor (MEF) and 2D patterning. In our simulations using DPT with relaxed feature pitch for each exposure step, the MEF for the line/space is fairly manageable for 32nm half-pitch and below. The real challenge for the 32nm half-pitch and below with DPT is how to deal with the printing of small 2D features resulting from the many cutting sites due to feature decomposition. Each split of a dense pattern generates two difficult-to-print line-end type features with dimension less than one-fifth or one-sixth of ArF wavelength. Worse, the proximity environment of the 2D cut features can then become quite complex. How to stitch them correctly back to the original target requires careful attention. Applying target bias can improve the printing performance in general. But using a model-based stitching error correction method seems to be a preferred solution.

62 citations


Patent
09 May 2006
TL;DR: In this article, a displacement measurement system for measuring the displacement of a substrate table in a lithographic apparatus relative to a reference frame is presented, which includes a plurality of displacement sensors mounted on the substrate table and a target associated with each displacement sensor mounted to the reference frame.
Abstract: A displacement measurement system, in particular for measuring the displacement of a substrate table in a lithographic apparatus relative to a reference frame is presented. The displacement measure system includes a plurality of displacement sensors mounted to the substrate table and a target associated with each displacement sensor mounted to the reference frame.

Patent
20 Apr 2006
TL;DR: A lithographic apparatus comprises a substrate table (WT) to hold a substrate, a reference structure and a measurement system to measure a position of the substrate table with respect to the reference structure as discussed by the authors.
Abstract: A lithographic apparatus comprises a substrate table (WT) to hold a substrate, a reference structure and a measurement system to measure a position of the substrate table with respect to the reference structure. The measurement system comprises a first measurement system to measure a position of the substrate table with respect to an intermediate structure (IS) and a second measurement system to measure a position of the intermediate structure with respect to the reference structure. The intermediate structure may be connected or connectable to a drive mechanism to drive the substrate table. A distance between the substrate table and the intermediate structure, and a distance between the intermediate structure and the reference structure may be small which results in a highly accurate position measurement.

Patent
21 Mar 2006
TL;DR: A displacement measurement system configured to provide measurement of the relative displacement of two components in six degrees of freedom with improved consistency and without requiring excessive space is described in this article, where the authors describe a displacement measurement approach for the measurement of two component in six degree of freedom.
Abstract: A displacement measurement system configured to provide measurement of the relative displacement of two components in six degrees of freedom with improved consistency and without requiring excessive space.

Patent
26 Apr 2006
TL;DR: In this paper, the spectral purity of normal incidence radiation is enhanced, such that DUV radiation is diminished relatively stronger than EUV radiation, for application in an EUV lithographic apparatus.
Abstract: A multi-layer mirror includes on top of the multi-layer mirror a spectral purity enhancement layer, for example for application in an EUV lithographic apparatus. This spectral purity enhancement layer includes a first spectral purity enhancement layer, but between the multi-layer mirror and first spectral purity enhancement layer there may optionally be an intermediate layer or a second spectral purity enhancement layer and intermediate layer. Hence, multi-layer mirrors with the following configurations are possible: multi-layer mirror/first spectral purity enhancement layer; multi-layer mirror/intermediate layer/first spectral purity enhancement layer; and multi-layer mirror/second spectral purity enhancement layer/intermediate layer/first spectral purity enhancement layer. The spectral purity of normal incidence radiation may be enhanced, such that DUV radiation is diminished relatively stronger than EUV radiation.

Patent
13 Jun 2006
TL;DR: In this paper, a method for in-line monitoring of via/contact etching process based on a test structure is described, which is comprised of via-contact holes of different sizes and densities in a layout such that, for a certain process, the microloading or RIE lag induced non-uniform etch rate produce under-etch in some regions and overetch in others.
Abstract: A method for in-line monitoring of via/contact etching process based on a test structure is described. The test structure is comprised of via/contact holes of different sizes and densities in a layout such that, for a certain process, the microloading or RIE lag induced non-uniform etch rate produce under-etch in some regions and over-etch in others. A scanning electron microscope is used to distinguish these etching differences in voltage contrast images. Image processing and simple calibration convert these voltage contrast images into a “fingerprint” image characterizing the etching process in terms of thickness over-etched or under-etched. Tolerance of shifting or deformation of this image can be set for validating the process uniformity. This image can also be used as a measure to monitor long-term process parameter shifting, as well as wafer-to-wafer or lot-to-lot variations. Advanced process control (APC) can be performed in-line with the guidance of this image so that potential electrical defects are avoided and process yield ramp accelerated.

Patent
12 Apr 2006
TL;DR: In this paper, a method of generating complementary masks based on a target pattern having features to be imaged on a substrate for use in a multiple-exposure lithographic imaging process is presented.
Abstract: A method of generating complementary masks based on a target pattern having features to be imaged on a substrate for use in a multiple-exposure lithographic imaging process. The method includes the steps of: defining an initial H-mask corresponding to the target pattern; defining an initial V-mask corresponding to the target pattern; identifying horizontal critical features in the H-mask having a width which is less than a predetermined critical width; identifying vertical critical features in the V-mask having a width which is less than a predetermined critical width; assigning a first phase shift and a first percentage transmission to the horizontal critical features, which are to be formed in the H-mask; and assigning a second phase shift and a second percentage transmission to the vertical critical features, which are to be formed in the V-mask. The method further includes the step of assigning chrome to all non-critical features in the H-mask and the V-mask. The non-critical features are those features having a width which is greater than or equal to the predetermined critical width. The non-critical features are formed in the H-mask and the V-mask utilizing chrome. The target pattern is then imaged on the substrate by imaging both the H-mask and V-mask.

Journal ArticleDOI
TL;DR: In this paper, the structural changes in carbon nanotubes under electron emission conditions were investigated in situ in a transmission electron microscope (TEM), and the results were discussed on the basis of several models for degradation mechanisms.
Abstract: The structural changes in carbon nanotubes under electron emission conditions were investigated in situ in a transmission electron microscope (TEM). The measurements were performed on individually mounted free-standing multi-walled carbon nanotubes (CNTs). It was found that the structure of the carbon nanotubes did not change gradually, as is the case with field emission electron sources made of sharp metal tips. Instead, changes occurred only above a current level of a few microamperes, which was different for each nanotube. Above the threshold current, carbon nanotubes underwent either structural damage, such as shortening and splitting of the apex of the nanotube, or closing of their open cap. The results are discussed on the basis of several models for degradation mechanisms.

Patent
07 Mar 2006
TL;DR: In this paper, a double patterning system and process using a carbon-based hard mask is presented. But the double pattern is not suitable for hard masks with a large number of exposure points and feature spacing smaller than a minimum spacing printable in the hard mask.
Abstract: A double patterning system and process using a carbon-based hard mask. The double patterning system provides a means to form hard mask features in single hard mask etch step with a feature spacing smaller than a minimum spacing printable in the hard mask based on a single exposure.

Journal ArticleDOI
TL;DR: In this article, an optimal design problem of an electromagnetic actuator is formulated by defining the set of design variables, the constraints, and the optimality criterion, and an obtained design is verified by measurements.
Abstract: An optimal design problem of an electromagnetic actuator is formulated by defining the set of design variables, the constraints, and the optimality criterion. Solving such a problem is a difficult and time-expensive task when many variables, constraints, and conflicting objectives are involved, and when high accuracy is required. In order to determine the solution in an efficient manner, the space mapping technique is investigated. A cylindrical voice coil actuator is chosen as a proof-of-concept example. The numerical results show that the approach is viable, and an obtained design is verified by measurements

Patent
17 Nov 2006
TL;DR: In this article, the alignment marks for use on substrates are presented, which are periodic 2-dimensional arrays of structures, the spacing of the structures being smaller than an alignment beam but larger than an exposure beam and the width of structures varying sinusoidally from one end of an array to the other.
Abstract: The present invention relates to alignment marks for use on substrates, the alignment marks consisting of periodic 2-dimensional arrays of structures, the spacing of the structures being smaller than an alignment beam but larger than an exposure beam and the width of the structures varying sinusoidally from one end of an array to the other.

Patent
22 Mar 2006
TL;DR: In this paper, a multi-layered spectral purity filter improves the spectral purity of an Extreme UltraViolet (EUV) radiation beam and also collects debris emitted from a radiation source.
Abstract: A multi-layered spectral purity filter improves the spectral purity of an Extreme UltraViolet (EUV) radiation beam and also collects debris emitted from a radiation source.

Patent
02 Jun 2006
TL;DR: In this article, a stage apparatus for holding two patterning devices is described, arranged such that the distance between the patterns in the scanning direction corresponds to the length of the pattern in the scan direction.
Abstract: In order to improve the productivity of a lithographic apparatus, a stage apparatus for holding two patterning devices is described. The patterning devices are arranged such that the distance between the patterns in the scanning direction corresponds to the length of the pattern in the scanning direction. By doing so, an improved exposure sequence may be performed by exposing a first die with a first pattern, skipping a second die adjacent to the first die, and exposing a third die adjacent to the second die using a second pattern.

Proceedings ArticleDOI
01 Sep 2006
TL;DR: In this article, the authors presented experimental and simulation results from investigating critical issues challenging double patterning lithography capability to meet manufacturing requirements for 45 nm 1/2 pitch on 0.93 NA lithography system.
Abstract: We present experimental and simulation results from investigating critical issues challenging Double Patterning lithography capability to meet manufacturing requirements for 45 nm 1/2 pitch on 0.93 NA lithography system. Simulations of lithography alternatives for positive and negative patterning processes based on focus-exposure metrics show that dual-line positive process has focus and exposure dose latitudes meeting manufacturing requirements. We introduced an innovative method to calculate double patterning CDU budgets based on defining CD from its edges and pooling CD variance from two adjacent patterns. We achieved experimental double patterning minimum resolution of 40 /2 pitch on 0.93NA system, which equals 0.19kl. Predictive simulations indicate that double patterning overlay budget should be 70% or lower compared to single exposure application. Experimental overlay measurements on wafers exposed on state of the art 0.93NA system demonstrate current capability of ges 6 nm overlay with 53% probability to meet 4 nm overlay in single exposure applications and 30% probability to meet 4 nm overlay in a double patterning applications.

Patent
Robert John Socha1
01 Aug 2006
TL;DR: In this paper, the authors propose a method of decomposing a target pattern having features to be imaged on a substrate so as to allow the feature to be reconstructed in a multi-exposure process.
Abstract: A method of decomposing a target pattern having features to be imaged on a substrate so as to allow said features to be imaged in a multi-exposure process. The method includes the steps of: (a) segmenting a plurality of the features into a plurality of polygons; (b) determining the image log slope (ILS) value for each of the plurality of polygons; (c) determining the polygon having the minimum ILS value, and defining a mask containing the polygon; (d) convolving the mask defined in step (c) with an eigen function of a transmission cross coefficient so as to generate an interference map, where the transmission cross coefficient defines the illumination system to be utilized to image the target pattern; and (e) assigning a phase to the polygon based on the value of the interference map at a location corresponding to the polygon, where the phase defines which exposure in said multi-exposure process the polygon is assigned.

Patent
05 Jul 2006
TL;DR: In this paper, a contaminant trap includes a plurality of foils or plates defining channels which are arranged substantially parallel to the direction of propagation of a radiation beam, and can be oriented radially with respect to an optical axis of the radiation beam.
Abstract: A lithographic apparatus includes a radiation system including a radiation source (SO) for the production of a radiation beam, and a contaminant trap (10,110) arranged in a path of the radiation beam. The contaminant trap includes a plurality of foils or plates defining channels which are arranged substantially parallel to the direction of propagation of said radiation beam. The foils or plates can be oriented substantially radially with respect to an optical axis of the radiation beam. The contaminant trap can be provided with a gas injector (12,112) which is configured to inject gas at least at two different positions directly into at least one of the channels of the contaminant trap.

Journal ArticleDOI
TL;DR: A self-consistent kinetic particle-in-cell model has been developed to describe a radiation driven plasma that predicts the plasma parameters and notably the energy at which ions impact on the plasma boundaries.
Abstract: A self-consistent kinetic particle-in-cell model has been developed to describe a radiation driven plasma. Collisions between charged species and the neutral background are represented statistically by Monte Carlo collisions. The weakly ionized plasma is formed when extreme ultraviolet radiation coming from a pulsed discharge photoionizes a low pressure argon gas. The presence of a plasma close to optical components is potentially dangerous in case the ions that are accelerated in the plasma sheath gain enough energy to sputter the optics. The simulations predict the plasma parameters and notably the energy at which ions impact on the plasma boundaries. Finally, sputter rates are estimated on the basis of two sputtering models.

Journal ArticleDOI
TL;DR: In this paper, the authors proposed a transformation algorithm that transforms lot events into batch events, which enables one to use the previously developed single-lot algorithm also for batch machine workstations.
Abstract: Process time variability plays a key role in the cycle time of wafers. Several sources of variability can be distinguished. However, identification and measurement of all individual sources is almost impossible. Therefore, in previous work, a new method has been proposed to measure effective process times (EPT) for single-lot machines. The EPT incorporates the various sources of variability. From the measured EPT realizations, the mean and the corresponding coefficient of variation can be computed for queueing performance analysis. This paper follows up on previous work. The EPT quantification approach is generalized toward batching equipment. The batching types of operations are commonly present in the semiconductor industry. The paper proposes a transformation algorithm that transforms lot events into batch events. This enables one to use the previously developed single-lot algorithm also for batch machine workstations. An industry case illustrates the approach using operational data of furnace workstations.

Patent
21 Mar 2006
TL;DR: In this paper, the measurement target is transformed in a grid of conducting material, the grid having grid openings which are smaller than the first wavelength, and the space in the scribe lane where the target was, is now shielded and may be used again in further layers or processing steps of the substrate.
Abstract: In a device manufacturing method and lithographic apparatus wherein a pattern is transferred from a patterning device onto a substrate, a measurement target is provided on the substrate in a process enabling execution of a substrate measurement using radiation of a first wavelength. Subsequently the measurement target is transformed in a grid of conducting material, the grid having grid openings which are smaller than the first wavelength. The space in the scribe lane where the measurement target was, is now shielded and may be used again in further layers or processing steps of the substrate.

Patent
21 Mar 2006
TL;DR: In this article, a low-pass filter was used to generate a frequency-clipped target dose pattern on a substrate, which consisted of only spatial frequency components below a selected threshold frequency.
Abstract: An apparatus and method are used to form patterns on a substrate. The apparatus comprises a projection system, a patterning device, a low-pass filter, and a data manipulation device. The projection system projects a beam of radiation onto the substrate as an array of sub-beams. The patterning device modulates the sub-beams to substantially produce a requested dose pattern on the substrate. The low-pass filter operates on pattern data derived from the requested dose pattern in order to form a frequency-clipped target dose pattern that comprises only spatial frequency components below a selected threshold frequency. The data manipulation device produces a control signal comprising spot exposure intensities to be produced by the patterning device, based on a direct algebraic least-squares fit of the spot exposure intensities to the frequency-clipped target dose pattern. In various examples, filters can also be used.

Patent
01 Dec 2006
TL;DR: An EUV lithographic apparatus includes an EUV radiation source, an optical element (50) and a cleaning device (95) as mentioned in this paper, which is configured to provide a flow of hydrogen radicals.
Abstract: An EUV lithographic apparatus includes an EUV radiation source, an optical element (50) and a cleaning device (95) . The cleaning device (95) includes a hydrogen radical source (103) and a flow tube (104) in communication with the hydrogen radical source (103) . The cleaning device (95) is configured to provide a flow of hydrogen radicals (96) and the flow tube (104) is arranged to provide a hydrogen radical flow (96) at a predetermined position within the lithographic apparatus, for example for cleaning a collector mirror (50) .