scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 1996"


Proceedings ArticleDOI
18 Jun 1996
TL;DR: A new space-sweep approach to true multi-image matching is presented that simultaneously determines 2D feature correspondences and the 3D positions of feature points in the scene.
Abstract: The problem of determining feature correspondences across multiple views is considered. The term "true multi-image" matching is introduced to describe techniques that make full and efficient use of the geometric relationships between multiple images and the scene. A true multi-image technique must generalize to any number of images, be of linear algorithmic complexity in the number of images, and use all the images in an equal manner. A new space-sweep approach to true multi-image matching is presented that simultaneously determines 2D feature correspondences and the 3D positions of feature points in the scene. The method is illustrated on a seven-image matching example from the aerial image domain.

653 citations


Proceedings ArticleDOI
07 Jun 1996
TL;DR: A model-based OPC system which uses simulation in a feedback loop to generate corrections to the mask to create a 'process-tuned' simulation model which can be used for OPC.
Abstract: Fast lithography simulation and its use in optical proximity correction (OPC) is the topic of this paper. We summarize a model-based OPC system which uses simulation in a feedback loop to generate corrections to the mask. At the heart of our OPC system are tools for fast simulation of the optical and process physics of lithography. For image simulation, we apply a sum of coherent systems approximation to Hopkins partial coherence model and then use lookup tables for high speed sparse image simulation over arbitrary mask geometry. Image intensity simulation at a single point is achieved with O(Me) computation where Me is the number of polygon edges in a region surrounding the point. This allows more than 10,000 aerial image points per second and mask image perturbation speeds of 51,000 points per second on an HP700 workstation. A simplified physically based, empirically parameterized resist model is then used to determine edge placements, given the image intensity samples. Together, these systems make up a 'process-tuned' simulation model which can be used for OPC. The accuracy of the overall model is shown by comparing to empirical measurement data. By integrating the fast simulation tools with our OPC system, we can correct a 48 X 27 micrometers 2 area in 6 iterations at 96 sec/iteration.© (1996) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

187 citations


Book ChapterDOI
15 Apr 1996
TL;DR: A hierarchical procedure is developed that effectively pools the information while keeping the combinatorics under control and of particular importance is the tight coupling of 2-D and 3-D analysis.
Abstract: We present a technique to extract complex suburban roofs from sets of aerial images. Because we combine 2-D edge information, photometric and chromatic attributes and 3-D information, we can deal with complex houses. Neither do we assume the roofs to be flat or rectilinear nor do we require parameterized building models. From only one image, 2-D edges and their corresponding attributes and relations are extracted. Using a segment stereo matching based on all available images, the 3-D location of these edges are computed. The 3-D segments are then grouped into planes and 2-D enclosures are extracted, thereby allowing to infer adjoining 3-D patches describing roofs of houses. To achieve this, we have developed a hierarchical procedure that effectively pools the information while keeping the combinatorics under control. Of particular importance is the tight coupling of 2-D and 3-D analysis.

140 citations


Proceedings ArticleDOI
07 Jun 1996
TL;DR: In this paper, the effects of resist processing were incorporated into simulated images, and a Second Order Model based on a segmented development path was also presented, allowing the prediction of resist linewidths based on calculated image profiles.
Abstract: Process windows are frequently generated from simulated aerial image profiles by use of a threshold model for the resist process, an assumption which is not accurate for many processes. In this paper, we present new computationally efficient methods for incorporating the effects of resist processing into simulated images. The First Order Model of development leads to the simple result that the resist linewidth W is smaller than the threshold linewidth Wthresh by an amount (Delta) W approximately equals 2 [ln(D(gamma) s)-1]/((gamma) s), where D is the resist thickness, (gamma) is the resist process non-linearity and s is the log-slope of the image. A Second Order Model based on a segmented development path is also presented. These models allow the prediction of resist linewidths based on calculated image profiles for any wet developed process: optical, X-ray or e-beam lithography, both positive and negative resists. The predictions of these models show good agreement with full PROLITH/2 resist profile simulations. We have also incorporated a Fickian diffusion of the intensity profile into our model, to account for acid diffusion, stepper vibration, lens aberrations, and other effects which reduce process resolution. Experimental process windows are well matched by such models, and are significantly different than threshold model predictions.© (1996) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

128 citations


Patent
14 Aug 1996
TL;DR: In this paper, an aerial image of a portion of a photomask is generated and a simulated image corresponding to original pattern data is also generated; the aerial image and simulated image are then compared and discrepancies are detected as possible defects.
Abstract: The present invention provides a process for performing automatic inspection of advanced design photomasks. In a preferred embodiment, an aerial image of a portion of a photomask is generated. A simulated image corresponding to original pattern data is also generated. The aerial image and simulated image are then compared and discrepancies are detected as possible defects.

92 citations


Patent
24 Apr 1996
TL;DR: In this paper, a mask layout is generated from a binary mask layout design and an aerial image of the mask layout was calculated using simulation software, and the simulated aerial image was compared to the binary mask layouts design and modifications were made to the mask layouts if necessary.
Abstract: A process for designing and checking a mask layout is provided. A mask layout is generated from a binary mask layout design. An aerial image of the mask layout is then calculated using simulation software. The simulated aerial image is then compared to the binary mask layout design and modifications are made to the mask layout if necessary.

72 citations


Proceedings ArticleDOI
02 Dec 1996
TL;DR: An algorithm for combining color and texture information for the segmentation of color images using maximum likelihood classification combined with a certainty based fusion criterion is described.
Abstract: The paper describes an algorithm for combining color and texture information for the segmentation of color images. The algorithm uses maximum likelihood classification combined with a certainty based fusion criterion. The algorithm was validated using mosaics of real color textures. It was also tested on real outdoor color scenes and aerial images. This algorithm is part of a more complex system which is currently being designed to assist an operator in updating an old map of an area using aerial images.

56 citations


Journal Article
TL;DR: It could be shown that the method for automatic relative orientation of a stereopair of digital aerial images is operational for practical applications and runs as fast as, if not faster than, a human operutor can carry out the relative orientation while yielding the same level of accuracy.
Abstract: An approaclr is described for automatic relative orientation of a stereopair of digital aerial images. The concept and the implementation are based on practical conditions with respect to available a priori knowledge, speed of computation, and obtainable accuracy. Feature-based image matching using point features extracted with a modified version of the Moravec operator and a coarse-to-fine strategy ure incorporated into the approach. In higher image pyramid levels, where images are small in size and of low resolution, the entire model area is searched for interest points. In lower levels, window tracking is carried out in order lo speed up the entire procedure and to stabilize the final results. In all levels, matching is based on geometric as well as radionrelric constraints. The approach was developed as one of the automation-oriented software components of a digital photogram~netric workstation. Results obtained from ten aerial image pairs with scales ranging from 1:3,000 to 1:34,000 and scanned with a pixel size of 15 pm, thus yielding some 235 Megabytes per image, are presented. In each case, more than 150 well distributed points were extracted. The obtained root-mean-square standard deviations of the image coordinutes consistently lie between 3.2 and 3.6 pm or 0.21 and 0.24 pixels. A human operator checked the resulting models on on analytical plotter. The models were found to be free of y-parallax. The elapsed computing time was approxi~nately 4 minutes per image pair on a Silicon Graphics Iris Indigo workstation with R4000 processor. This means that the procedure runs as fast as, if not faster than, a human operutor can carry out the relative orientation while yielding the same level of accuracy. Thus, it could be shown that tlre presenled method for automatic relative orientation is operational for practical applications.

45 citations


Journal ArticleDOI
TL;DR: In this article, an empirically derived model for calculating feature sizes in resist is presented. But the model is based on convolution of the mask pattern with a set of kernels determined from measuring the printed test structures in resist.
Abstract: We present the characterization of optical proximity effects and their correction in deep‐UV lithography using an empirically derived model for calculating feature sizes in resist. The model is based on convolution of the mask pattern with a set of kernels determined from measuring the printed test structures in resist. The fit of the model to the measurement data is reviewed. The model is then used for proximity correction using commercially available proximity correction software. Corrections based on this model is effective in restoring resist linearity and in reducing line‐end shortening. It is also more effective in reducing optical proximity effects than corrections based only on aerial image calculations.

44 citations


Patent
17 Dec 1996
TL;DR: In this paper, the resolving power of a conventional photolithographic device was increased by deciding illumination cast by an illumination source according to a pair of reticle aerial image emissive power distributions.
Abstract: PROBLEM TO BE SOLVED: To increase the resolving power of a conventional photolithographic device by deciding illumination cast by an illumination source according to a pair of reticle aerial image emissive power distributions, so as to make aerial image emissive power distribute similarly to an objective aerial image emissive power distribution SOLUTION: This illumination by a projection imaging system is optimized according to the data obtained by scanning the aerial image formed on an image surface 32 Such a scanning is performed by a scanner 50 and an image analyzer 42 This scanner 50 is composed, so as to make the electronic output signals fluctuate as a function of exposed radioactive intensity of illumination At this time, the light quantity passing through a grating, to be entered into a photodetector is proportional to the intensity of the aerial image at a fixed position Moreover, the image analyzer 50 correlates the output signals made from the scanner 50 with the x-y address information on the scanner 50 to make the electronic display of the aerial image form on the image surface 32

37 citations


Proceedings ArticleDOI
07 Jun 1996
TL;DR: In this article, the use of direct aerial image measurements and optical interferometry for the evaluation of advanced i-line lenses is discussed, and the link between the optical measurements and photoresist processes is further established by a comparison of simulated and measured results.
Abstract: This paper shows and discusses the use of direct aerial image measurements and optical interferometry for the evaluation of advanced i-line lenses These measurement techniques provide direct information on the image forming capabilities of a stepper lens such that assessments of field curvature, astigmatism and image asymmetry can readily be accomplished The interaction with the photoresist is shown by directly using the measured aerial image and aberration data into photoresist modeling programs such as Prolith/2 and Solid-C The link between the optical measurements and the photoresist processes is further established by a comparison of simulated and measured results© (1996) COPYRIGHT SPIE--The International Society for Optical Engineering Downloading of the abstract is permitted for personal use only

Proceedings ArticleDOI
02 Dec 1996
TL;DR: A sensitivity analysis reveals that the angle difference histogram provides the most discriminating index of line structure; it is robust both to image distortion on to the variable quality of input line segmentation.
Abstract: The paper aims to develop simple statistical methods for indexing line patterns. The application vehicle used in this study involves indexing into an aerial image database using a cartographic model. The images contained in the database are of urban and semi urban areas. The cartographic model represents a road network known to appear in a subset of the images contained within the database. There are known to be severe imaging distortions present and the data cannot be recovered by applying a simple Euclidean transform to the model. We effect the cartographic indexing into the database using pairwise histograms of the angle differences and the cross ratios of the lengths of line segments extracted from the raw aerial images. We investigate several alternative ways of performing histogram comparison. Our conclusion is that the Matusita and Bhattachargya distances provide significant performance advantages over the L/sub 2/ norm employed by M. Swain and D. Ballard (1990). Moreover, a sensitivity analysis reveals that the angle difference histogram provides the most discriminating index of line structure; it is robust both to image distortion on to the variable quality of input line segmentation.

Journal ArticleDOI
TL;DR: It was found that the ART 2-A distinguished itself with its speed and its low number of required training vectors, however, only the MLP classifier was able to deal with a combination of shift and rotation geometric distortions.
Abstract: We describe the application of the multilayer perceptron (MLP) network and a version of the adaptive resonance theory version 2-A (ART 2-A) network to the problem of automatic aerial image recognition (AAIR). The classification of aerial images, independent of their positions and orientations, is required for automatic tracking and target recognition. Invariance is achieved by the use of different invariant feature spaces in combination with supervised and unsupervised neural networks. The performance of neural-network-based classifiers in conjunction with several types of invariant AAIR global features, such as the Fourier-transform space, Zernike moments, central moments, and polar transforms, are examined. The advantages of this approach are discussed. The performance of the MLP network is compared with that of a classical correlator. The MLP neural-network correlator outperformed the binary phase-only filter (BPOF) correlator. It was found that the ART 2-A distinguished itself with its speed and its low number of required training vectors. However, only the MLP classifier was able to deal with a combination of shift and rotation geometric distortions.

Proceedings ArticleDOI
07 Jun 1996
TL;DR: An algorithm for the optimization of stepper parameters has been designed and implemented and a conjugate gradient type algorithm is used to obtain the minimum of the contrast.
Abstract: An algorithm for the optimization of stepper parameters has been designed and implemented. The cost function used in this optimization is the contrast. The aerial image is computed using the computer code FAIM. First, the contrast of the image is calculated and the derivatives of the contrast with respect to the stepper parameters are evaluated. The computational cost of these calculations is only slightly more than that of one aerial image simulation. A conjugate gradient type algorithm is then used to obtain the minimum of the contrast.


01 Jan 1996
TL;DR: PIVOT'S improved performance from highly oblique viewpoints and on complex manmade structures is demonstrated, establishing the utility of rigorous camera modeling for object detection and delineation tasks, and in particular its importance for the automated population of spatial databases with cartographically accurate three-dimensional models.
Abstract: Computer vision systems have traditionally performed most effectively in constrained situations, where limitations on object shape or scene structure permit reliable image analysis. For example, in model-based recognition systems, the existence of 3D models of objects of interest allows the application of geometric constraints to limit the search for interpretations of low-level image information. Many problem domains, however, do not have explicit constraints on object shape or scene content. In aerial image analysis, man-made structures take on a wide variety of shapes and sizes. Existing techniques for these domains obtain only partial solutions by the use of simplifying assumptions about imaging geometry, illumination conditions, and object shape. Few of these techniques attempt to model perspective or photometric effects, which can be powerful constraints for object detection and delineation. The central hypothesis of this work, that rigorous modeling of the image acquisition process leads to improved detection and delineation of basic volumetric forms for object recognition, leads to the formulation of a set of principles for object detection and delineation. In accordance with these principles, a fully automated monocular image analysis system, PIVOT, was developed for the task domain of cartographic building extraction from aerial imagery, using original techniques for vanishing point detection, intermediate feature generation, hypothesis generation, and building model verification. A quantitative comparative evaluation methodology for object detection and delineation is presented in this work, using unbiased image space and object space performance metrics on large datasets of imagery. Using this methodology, PIVOT was compared to three existing building extraction systems on 83 test images covering a wide variety of geographical areas, object complexities, and viewing angles. This analysis demonstrates PIVOT'S improved performance from highly oblique viewpoints and on complex manmade structures, establishing the utility of rigorous camera modeling for object detection and delineation tasks, and in particular its importance for the automated population of spatial databases with cartographically accurate three-dimensional models.

Proceedings ArticleDOI
16 Sep 1996
TL;DR: This paper presents a method for navigation parameter estimation using sequential aerial images, where navigation parameters represent the velocity and position information of an aircraft for autonomous navigation, and a hybrid absolute position estimation algorithm combining image matching and digital elevation model (DEM) matching is presented.
Abstract: This paper presents a method for navigation parameter estimation using sequential aerial images, where navigation parameters represent the velocity and position information of an aircraft for autonomous navigation. The proposed navigation parameter estimation system is composed of two parts: relative position estimation and absolute position estimation. Relative position estimation recursively computes the current velocity and position of an aircraft by accumulating navigation parameters extracted from two successive aerial images. However, simple accumulation of parameter values decreases reliability of the extracted parameters as an aircraft goes on navigating, resulting in a large position error. Therefore absolute position estimation is required to compensate for position error generated in the relative position estimation step. A hybrid absolute position estimation algorithm combining image matching and digital elevation model (DEM) matching is presented. In image matching, line segment matching or Hausdorff distance (HD) matching is employed whereas in DEM matching a new algorithm for absolute position estimation by minimizing the variance of displacements is proposed. Computer simulation with real aerial image sequences shows the effectiveness of the proposed algorithm.

Patent
Iwasa Seiichi1
23 Oct 1996
TL;DR: In this paper, a visible light corresponding to an input key is generated from an aerial image as a mirage of an input operating unit using a pair of parabola mirrors or the like.
Abstract: A visible light corresponding to an input key is generated from a visible light generating unit as a display source, thereby allowing an aerial image as a mirage of an input operating unit to appear by an aerial image forming unit using a pair of parabola mirrors or the like. When touching the aerial image by a finger, its reflected light is detected by a photodetecting unit. A controller discriminates an operating position from a driving timing of the visible light generating unit and outputs a switch signal to the outside.

Proceedings ArticleDOI
07 Jun 1996
TL;DR: In this article, an algorithm for rapid and accurate evaluation of the mask Fourier transform over large domains containing non-uniformly positioned mask elements is implemented, by controlling aliasing errors within the context of a multiple level scheme.
Abstract: We discuss computational techniques for calculating aerial image intensity distributions from large GDS II files recently implemented in Depict, a photolithography simulator for projection imaging, resist exposure, post-exposure bake and development. In particular, an algorithm for rapid and accurate evaluation of the mask Fourier transform over large domains containing non-uniformly positioned mask elements is implemented. By controlling aliasing errors within the context of a multiple level scheme, this algorithm renders feasible the simulation of aerial images across large portions of integrated circuits. The algorithm also allows overlapping phase mask elements obeying multiplicative transmission rules, and mask element merging. Accuracy for integration of the extended light source is also reported.

Proceedings ArticleDOI
Joseph P. Kirk1, Timothy A. Brunner1
07 Jun 1996
TL;DR: In this article, it is shown how high resolution measurement of tone relief by an atomic force microprobe gives the lithographer a sampling of the spatial distribution of dose within the image.
Abstract: The aerial image formed by a microlithography lens places fundamental limits on the size and density of patterns that are manufactured by that lens. In the past, the lithographer was unable to directly measure the dose distribution in this aerial image. Highly absorbing photoresists have continuous tone relief response to dose variations and this relief is a record of the dose distribution in an image. It is shown how high resolution measurement of that relief by an atomic force microprobe gives the lithographer a sampling of the spatial distribution of dose within the image.© (1996) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
11 Oct 1996
TL;DR: In this article, an indirect ophthalmoscopy lens device includes a plurality of lenses for collecting light emanating from a patient's eye and focussing the light to form a first, real, inverted image of the fundus of the pupil outside of the eye, and for reinverting the first inverted image to form an erect image anterior of the device.
Abstract: An indirect ophthalmoscopy lens device includes a plurality of lenses for collecting light emanating from a patient's eye and focussing the light to form a first, real, inverted image of the fundus of the patient's eye outside of the eye, and for reinverting the first, real, inverted image to form an erect image anterior of the device. The first, real, inverted image, rather than being an aerial image, may be formed at least partially within one of the plurality of lenses of the device. A conjugate pupil image, rather than being located in air as an aerial image of the patient's pupil, may be formed within one of the plurality of lenses of the device.

Journal ArticleDOI
TL;DR: In this article, the performance of an extreme ultraviolet lithography (EUVL) test bed is evaluated using direct aerial image monitoring, and the results of initial aerial image scans of equal lines and spaces as well as preliminary measurements of scatter produced by the EUV camera are reported.
Abstract: We report the application of direct aerial image monitoring to measure the performance of an extreme ultraviolet lithography (EUVL) test bed. There are several issues which might limit the possibility of performing image monitoring experiments on EUVL systems. These issues include: source flux, signal‐to‐noise ratios, and EUV scanning aperture fabrication. We report the results of initial aerial image scans of equal lines and spaces as well as preliminary measurements of scatter produced by the EUV camera.

Proceedings ArticleDOI
W. Willuhn1, F. Ade1
25 Aug 1996
TL;DR: A system for the reconstruction of houses from aerial images that works with rules instead of models merely based on shape, allowing a larger variability of the objects and necessitates a more complex structure of the knowledge base and a more sophisticated reasoning control.
Abstract: We present a system for the reconstruction of houses from aerial images. Most of the methods proposed so far use rather specific models. These models do not hold when dealing with Europeanised houses. Our system works with rules instead of models merely based on shape. This enables us to include additional domain specific knowledge, allowing a larger variability of the objects. However this also necessitates a more complex structure of the knowledge base and a more sophisticated reasoning control. We explain the practical application of such a system for the reconstruction of a house from an aerial image.

01 Jan 1996
TL;DR: An algorithm is presented which uses feature and area based matching of points and edges extracted in all three channels of the MOMS-02 panchromatic band to ensure the automatic generation of Digital Terrain Models.
Abstract: The automatic generation of Digital Terrain Models (DTMs) is a subject of research since the 1980s when first experiments with intensity based matching have been carried out. Meanwhile, software modules exist at photogrammetric softcopy workstations which process aerial image pairs and produce high quality DTMs. With the use of three-line linear CCD arrays for direct digital data acquisition the geometry of the imagery changes. The scanning process leads to a weak recording geometry but a scene is covered by three image strips. For these reasons the automatic generation of DTMs becomes once again a subject of research, for which standard techniques and algorithms are extended and redefined. In this paper an algorithm is presented which uses feature and area based matching of points and edges extracted in all three channels of the MOMS-02 panchromatic band. Processing image data captured during the D2 mission with the newly developed preoperational software package clearly indicates, that the DTM module is even successful in mountainous terrain and with images of low texture variation. In the experiments with excerpts of the orbit 115 (Andes) and orbit 75B (Australia) a height accuracy of about 10-15 m for 3D object points was achieved. This accuracy level was confirmed by DGPS positioning of about 70 points located in scene 17 of orbit 75B.

Proceedings ArticleDOI
07 Jun 1996
TL;DR: In this article, a fast, accurate proximity correction method based on aerial image simulation was developed for optical lithography using a linear combination of simulated aerial image intensities both at and around mask edge were found effective for fast, precise CD prediction.
Abstract: Because optical lithography requires precise CD control, we developed a fast, accurate proximity correction method based on aerial image simulation. Simple formulas using a linear combination of simulated aerial image intensities both at and around mask edge were found effective for fast, precise CD prediction. Using the developed CD prediction and the fine biasing correction methods, we verified that various two-dimensional patterns printed by an i- line stepper using modified illumination and binary intensity mask are satisfactorily corrected; i.e., CD deviations from designed values, line shortening and feature deformations are effectively reduced.

01 Jan 1996
TL;DR: This article presents in this article the procedures used to compute the model delity for line segments and polygons in the model based structural image analysis of Speci c models.
Abstract: The purpose of the system MOSES is the automatic recognition of objects in aerial images. In this system, a model based structural image analysis is performed. Speci c models are gained through the analysis of digital maps. The models are stored in seantic networks. Image analysis is implemented as a search. To direct this search, one has to evaluate each state of the analysis process. One part of the computed valuations is the model delity, which is a measure for the goodness of match between the choosen image primitives and the speci c model. We present in this article the procedures used to compute the model delity for line segments and polygons. KURZFASSUNG Das System MOSES dient der automatischen Erkennung von Objekten in Luftbildern. Es f uhrt eine modellbasierte, strukturelle Bildanalyse durch, wobei spezi sche Modelle der zu analysierenden Szene durch die Analyse von digitalen Karten gewonnen werden. Die Modelle werden in semantischen Netzen gespeichert. Der Analysevorgang ist ein Suchvorgang, zu dessen Steuerung Bewertungen des aktuellen Analysezustandes anzugeben sind. Ein Teil dieser Bewertungen ist die Modelltreue, die angibt, wie gut die ausgewahlten Bildprimitiven zu dem vorgegebenen Modell passen. In diesem Artikel stellen wir die Prozeduren vor, mit denen die Modelltreue f ur Strecken und Polygone berechnet wird.

Proceedings ArticleDOI
05 Nov 1996
TL;DR: The adaptive resonance theory (ART 2-A) network is described, which distinguished itself with its speed and low number of training vectors, and the initial results are very promising.
Abstract: This article describes the application of the adaptive resonance theory (ART 2-A) network to the problem of automatic aerial image recognition (AAIR). The classification of aerial images independently of their position and orientation is required for automatic tracking and target recognition. Invariance is achieved by using different invariant feature spaces in combination with an unsupervised neural network. The performance of the neural network based classifier in conjunction with several types of invariant AAIR global features, such as the Fourier transform (FT) space, Zernike moments, central moments and polar transforms, are examined. The advantages of this approach are discussed. The ART 2-A distinguished itself with its speed and low number of training vectors. Although a large image data base would be necessary before this approach could be fully validated, the initial results are very promising.

Proceedings ArticleDOI
14 Oct 1996
TL;DR: A binocular stereo vision system uses an area-based hierarchical matching method to find corresponding points using the absolute orientation to convert the coordinates of the matching points and their parallaxes acquired through stereo matching to the actual position and altitude.
Abstract: A binocular stereo vision system is described. After preprocessing the aerial image pairs and resampling them along epipolar lines, our system uses an area-based hierarchical matching method to find corresponding points. Utilizing the absolute orientation, the coordinates of the matching points and their parallaxes acquired through stereo matching are converted to the actual position and altitude. The final results of the system are the recovered surface and digital elevation model (DEM).

Proceedings ArticleDOI
Keiichiro Tounai1, Naoaki Aizaki1
07 Jun 1996
TL;DR: In this article, the assistant pattern method, which needs the only unresolved additional patterns beside the isolated line pattern, was examined and optimized by light intensity simulation under the following criteria; CD variation within plus or minus 10 percent, resist thickness loss of 10 percent and unresolved assistant patterns.
Abstract: In the previous report, we showed the optimized results of off-axis illumination (OAI) for 0.25 micrometers resist patterning. Wide DOF was obtained for dense patterns like 1:1 L and S pattern, however, DOF was small for the sparse patterns. In order to widen the DOF for isolated line patterns under the OAI, we have examined the assistant pattern method, which needs the only unresolved additional patterns beside the isolated pattern. Optimization has been carried out by light intensity simulation under the following criteria; CD variation within plus or minus 10 percent, resist thickness loss of 10 percent and unresolved assistant patterns. CD value has been defined by the threshold method of aerial images. The evaluation method by aerial image is useful for rough estimate because the calculation is very rapid. However, the difference from the experimental results cannot be ignored in some cases, especially, in important CD- focus characteristics. For more accurate evaluation, we also investigated the result difference using a simplified resist development model. This model is useful for rapid calculation as light intensity calculation. From the evaluation of the exposure dose and focus latitude (EFL), the optimal layout must be decided considering exposure dose latitude decrease by resist development process. We have additionally investigated the combination effect of assistant pattern and halftone phase-shifting under OAI. EFL was improved a little by halftone phase- shifting mask without assistant patterns, on the other hand, EFL was improved by combination of HPSM and assistant patterns.© (1996) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Journal ArticleDOI
TL;DR: An abductive reasoning based inferencing engine for image interpretation that finds an acceptable and consistent explanation of the features detected in the image in terms of the objects known a priori.
Abstract: This paper describes an abductive reasoning based inferencing engine for image interpretation. The inferencing strategy finds an acceptable and consistent explanation of the features detected in the image in terms of the objects known a priori. The inferencing scheme assumes representation of the domain knowledge about the objects in terms of local and/or relational features. The inferencing system can be applied for different types of image interpretation problems like 2-D and 3-D object recognition, aerial image interpretation, etc. In this paper, we illustrate functioning of the system with the help of a 2-D object recognition problem.