scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2022"


Journal ArticleDOI
21 Jan 2022-Science
TL;DR: Three-dimensional (3D) direct lithography of PNCs with tunable composition and bandgap in glass was reported, and encapsulated P NCs exhibited long-term stability after prolonged heating or organic solvent and ultraviolet light exposure.
Abstract: Material composition engineering and device fabrication of perovskite nanocrystals (PNCs) in solution can introduce organic contamination and entail several synthetic, processing, and stabilization steps. We report three-dimensional (3D) direct lithography of PNCs with tunable composition and bandgap in glass. The halide ion distribution was controlled at the nanoscale with ultrafast laser–induced liquid nanophase separation. The PNCs exhibit notable stability against ultraviolet irradiation, organic solution, and high temperatures (up to 250°C). Printed 3D structures in glass were used for optical storage, micro–light emitting diodes, and holographic displays. The proposed mechanisms of both PNC formation and composition tunability were verified. Description Perovskite nanocrystals under glass Perovskite nanocrystals (PNCs) such as cesium lead triiodide (CsPbI3) can display bright photoemission with narrow linewidths for display applications, but their long-term stability requires passivation and encapsulation steps after synthesis in solution. Sun et al. created three-dimensional arrays of PNCs in doped metal oxide glasses using ultrafast laser pulses that caused local melting and subsequent crystallization. They tuned the bandgap of PNCs and their photoluminescence between 480- and 700-nanometer wavelengths by transforming the composition from CsPb(Cl1-xBrx)3 to CsPbI3. These encapsulated PNCs exhibited long-term stability after prolonged heating or organic solvent and ultraviolet light exposure. —PDS Melting of a doped metal oxide glass with ultrafast laser pulses created perovskite nanocrystal arrays for optoelectronics.

117 citations


Journal ArticleDOI
15 Apr 2022-Science
TL;DR: Micro-CAL as mentioned in this paper is a computed axial lithography (CAL) of fused silica components, by tomographically illuminating a photopolymer-silica nanocomposite that is then sintered.
Abstract: Glass is increasingly desired as a material for manufacturing complex microscopic geometries, from the micro-optics in compact consumer products to microfluidic systems for chemical synthesis and biological analyses. As the size, geometric, surface roughness, and mechanical strength requirements of glass evolve, conventional processing methods are challenged. We introduce microscale computed axial lithography (micro-CAL) of fused silica components, by tomographically illuminating a photopolymer-silica nanocomposite that is then sintered. We fabricated three-dimensional microfluidics with internal diameters of 150 micrometers, free-form micro-optical elements with a surface roughness of 6 nanometers, and complex high-strength trusses and lattice structures with minimum feature sizes of 50 micrometers. As a high-speed, layer-free digital light manufacturing process, micro-CAL can process nanocomposites with high solids content and high geometric freedom, enabling new device structures and applications.

44 citations


Journal ArticleDOI
TL;DR: Nanomolding is the underlying mechanism for a wide range of nanofabrication methods including template-based deposition, extrusion, nanoembossing, soft lithography, nanoimprint lithography and thermomechanical nanomolding as discussed by the authors.

26 citations


Journal ArticleDOI
TL;DR: A large-area and label-free testing platform that combines surface-enhanced Raman spectroscopy and machine learning for the rapid and accurate detection of SARS-CoV-2, and envision that it will offer an important tool for virus detection and future outbreak preparedness.
Abstract: Widespread testing and isolation of infected patients is a cornerstone of viral outbreak management, as underscored during the ongoing COVID-19 pandemic. Here, we report a large-area and label-free testing platform that combines surface-enhanced Raman spectroscopy and machine learning for the rapid and accurate detection of SARS-CoV-2. Spectroscopic signatures acquired from virus samples on metal–insulator–metal nanostructures, fabricated using nanoimprint lithography and transfer printing, can provide test results within 25 min. Not only can our technique accurately distinguish between different respiratory and nonrespiratory viruses, but it can also detect virus signatures in physiologically relevant matrices such as human saliva without any additional sample preparation. Furthermore, our large area nanopatterning approach allows sensors to be fabricated on flexible surfaces allowing them to be mounted on any surface or used as wearables. We envision that our versatile and portable label-free spectroscopic platform will offer an important tool for virus detection and future outbreak preparedness.

26 citations


Journal ArticleDOI
TL;DR: In this article , the authors highlight the recent progress in the field of block copolymer (BCP) nanopatterning for various non-semiconductor applications, including nanocatalysts, sensors, optics, energy devices, membranes, surface modifications and other emerging applications.
Abstract: Block copolymer (BCP) nanopatterning has emerged as a versatile nanoscale fabrication tool for semiconductor devices and other applications, because of its ability to organize well-defined, periodic nanostructures with a critical dimension of 5-100 nm. While the most promising application field of BCP nanopatterning has been semiconductor devices, the versatility of BCPs has also led to enormous interest from a broad spectrum of other application areas. In particular, the intrinsically low cost and straightforward processing of BCP nanopatterning have been widely recognized for their large-area parallel formation of dense nanoscale features, which clearly contrasts that of sophisticated processing steps of the typical photolithographic process, including EUV lithography. In this Review, we highlight the recent progress in the field of BCP nanopatterning for various nonsemiconductor applications. Notable examples relying on BCP nanopatterning, including nanocatalysts, sensors, optics, energy devices, membranes, surface modifications and other emerging applications, are summarized. We further discuss the current limitations of BCP nanopatterning and suggest future research directions to open up new potential application fields.

22 citations


Journal ArticleDOI
TL;DR: Nanomolding is the underlying mechanism for a wide range of nanofabrication methods including template-based deposition, extrusion, nanoembossing, soft lithography, nanoimprint lithography and thermomechanical nanomolding as discussed by the authors .

22 citations


Journal ArticleDOI
TL;DR: In this paper , the authors provide a comprehensive description of the NSL process and its most relevant derivatives to fabricate nanomesh TCFs, and an overview of their application in different technologies across disciplines related to optoelectronics is given.
Abstract: Transparent conductive films (TCFs) are irreplaceable components in most optoelectronic applications such as solar cells, organic light‐emitting diodes, sensors, smart windows, and bioelectronics. The shortcomings of existing traditional transparent conductors demand the development of new material systems that are both transparent and electrically conductive, with variable functionality to meet the requirements of new generation optoelectronic devices. In this respect, TCFs with periodic or irregular nanomesh structures have recently emerged as promising candidates, which possess superior mechanical properties in comparison with conventional metal oxide TCFs. Among the methods for nanomesh TCFs fabrication, nanosphere lithography (NSL) has proven to be a versatile platform, with which a wide range of morphologically distinct nanomesh TCFs have been demonstrated. These materials are not only functionally diverse, but also have advantages in terms of device compatibility. This review provides a comprehensive description of the NSL process and its most relevant derivatives to fabricate nanomesh TCFs. The structure‐property relationships of these materials are elaborated and an overview of their application in different technologies across disciplines related to optoelectronics is given. It is concluded with a perspective on current shortcomings and future directions to further advance the field.

21 citations


Journal ArticleDOI
TL;DR: The p-channel transistors have on/off current ratios as large as 4 × 109 and sub-threshold swings as small as 70 mV/decade as discussed by the authors .
Abstract: Direct-write electron-beam lithography has been used to fabricate low-voltage p-channel and n-channel organic thin-film transistors with channel lengths as small as 200 nm and gate-to-contact overlaps as small as 100 nm on glass and on flexible transparent polymeric substrates. The p-channel transistors have on/off current ratios as large as 4 × 109 and subthreshold swings as small as 70 mV/decade, and the n-channel transistors have on/off ratios up to 108 and subthreshold swings as low as 80 mV/decade. These are the largest on/off current ratios reported to date for nanoscale organic transistors. Inverters based on two p-channel transistors with a channel length of 200 nm and gate-to-contact overlaps of 100 nm display characteristic switching-delay time constants between 80 and 40 ns at supply voltages between 1 and 2 V, corresponding to a supply voltage-normalized frequency of about 6 MHz/V. This is the highest voltage-normalized dynamic performance reported to date for organic transistors fabricated by maskless lithography.

21 citations


Journal ArticleDOI
TL;DR: High-NA extreme ultraviolet (EUV) lithography is currently in development at ASML and Carl Zeiss as discussed by the authors , which results in wafer field sizes of 26 mm × 16.5 mm, half that of lower NA EUV tools and optical scanners.
Abstract: High-NA extreme ultraviolet (EUV) lithography is currently in development. Fabrication of exposure tools and optics with a numerical aperture (NA) equal to 0.55 has started at ASML and Carl Zeiss. Lenses with such high NA will have very small depths-of-focus, which will require improved focus systems and significant improvements in wafer flatness during processing. Lenses are anamorphic to address mask 3D issues, which results in wafer field sizes of 26 mm × 16.5 mm, half that of lower NA EUV tools and optical scanners. Production of large die will require stitching. Computational infrastructure is being created to support high-NA lithography, including simulators that use Tatian polynomials to characterize the aberrations of lenses with central obscurations. High resolution resists that meet the line-edge roughness and defect requirements for high-volume manufacturing also need to be developed. High power light sources will also be needed to limit photon shot noise.

21 citations


Journal ArticleDOI
TL;DR: In this paper , the authors have carried out a review on different types of lithographic techniques such as optical lithography, extreme ultraviolet lithography (EVL), electron beam and X-ray lithography and ion beam lithography.
Abstract: In this era, electronic devices such as mobile phones, computers, laptops, sensors, and many more have become a necessity in healthcare, for a pleasant lifestyle, and for carrying out tasks quickly and easily. Different types of temperature sensors, biosensors, photosensors, etc., have been developed to meet the necessities of people. All these devices have chips inside them fabricated using diodes, transistors, logic gates, and ICs. The patterning of the substrate which is used for the further development of these devices is done with the help of a technique known as lithography. In the present work, we have carried out a review on different types of lithographic techniques such as optical lithography, extreme ultraviolet lithography, electron beam lithography, X-ray lithography, and ion beam lithography. The evolution of these techniques with time and their application in device fabrication are discussed. The different exposure tools developed in the past decade to enhance the resolution of these devices are also discussed. Chemically amplified and non-chemically amplified resists with their bonding and thickness are discussed. Mask and maskless lithography techniques are discussed along with their merits and demerits. Device fabrication at micro and nano scale has been discussed. Advancements that can be made to improve the performance of these techniques are also suggested.

20 citations


Journal ArticleDOI
TL;DR: The field of 3D micro-optics is rapidly expanding, and essential advances in femtosecond laser direct-write 3D multi-photon lithography (MPL) are being made as mentioned in this paper .
Abstract: The field of 3D micro‐optics is rapidly expanding, and essential advances in femtosecond laser direct‐write 3D multi‐photon lithography (MPL, also known as two‐photon or multi‐photon polymerization) are being made. Micro‐optics realized via MPL emerged a decade ago and the field has exploded during the last five years. Impressive findings have revealed its potential for beam shaping, advanced imaging, optical sensing, integrated photonic circuits, and much more. This is supported by a game‐changing and increasing industrial interest from key established companies in this field. In this review, the origin and the advancement of micro‐optics fabrication with MPL are detailed by describing the chronology, distinguishing discrete application groups, providing generalized technical data on the processes and available materials, and discussing the foreseen near‐future advances.

Journal ArticleDOI
TL;DR: In this paper , the authors integrated several advanced technologies to design a prototype microscale light-emitting diode (LED) arrays using quantum dot (QD)-based color conversion.
Abstract: Near-eye display technology is a rapidly growing field owing to the recent emergence of augmented and mixed reality. Ultrafast response time, high resolution, high luminance, and a dynamic range for outdoor use are all important for non-pixelated, pupil-forming optics. The current mainstream technologies using liquid crystals and organic materials cannot satisfy all these conditions. Thus, finely patterned light-emissive solid-state devices with integrated circuits are often proposed to meet these requirements. In this study, we integrated several advanced technologies to design a prototype microscale light-emitting diode (LED) arrays using quantum dot (QD)-based color conversion. Wafer-scale epilayer transfer and the bond-before-pattern technique were used to directly integrate 5-µm-scale GaN LED arrays on a foreign silicon substrate. Notably, the lithography-level alignment with the bottom wafer opens up the possibility for ultrafast operation with circuit integration. Spectrally pure color conversion and solvent-free QD patterning were also achieved using an elastomeric topographical mask. Self-assembled monolayers were applied to selectively alter the surface wettability for a completely dry process. The final emissive-type LED array integrating QD, GaN, and silicon technology resulted in a 1270 PPI resolution that is far beyond the retinal limit.

Journal ArticleDOI
TL;DR: In this paper , a homogenous and transparent photosensitive resin doped with an organic semiconductor material (OS), which is compatible with MPL process, is introduced to fabricate a variety of 3D OS composite microstructures (OSCMs) and microelectronic devices.
Abstract: In recent years, 3D printing of electronics have received growing attention due to their potential applications in emerging fields such as nanoelectronics and nanophotonics. Multiphoton lithography (MPL) is considered the state‐of‐the‐art amongst the microfabrication techniques with true 3D fabrication capability owing to its excellent level of spatial and temporal control. Here, a homogenous and transparent photosensitive resin doped with an organic semiconductor material (OS), which is compatible with MPL process, is introduced to fabricate a variety of 3D OS composite microstructures (OSCMs) and microelectronic devices. Inclusion of 0.5 wt% OS in the resin enhances the electrical conductivity of the composite polymer about 10 orders of magnitude and compared to other MPL‐based methods, the resultant OSCMs offer high specific electrical conductivity. As a model protein, laminin is incorporated into these OSCMs without a significant loss of activity. The OSCMs are biocompatible and support cell adhesion and growth. Glucose‐oxidase‐encapsulated OSCMs offer a highly sensitive glucose sensing platform with nearly tenfold higher sensitivity compared to previous glucose biosensors. In addition, this biosensor exhibits excellent specificity and high reproducibility. Overall, these results demonstrate the great potential of these novel MPL‐fabricated OSCM devices for a wide range of applications from flexible bioelectronics/biosensors, to nanoelectronics and organ‐on‐a‐chip devices.

Journal ArticleDOI
TL;DR: In this paper, a review of micro-nano printing technology, mainly related to lithography, inkjet and electrohydrodynamic (EHD) printing and their biomedical and electronic applications is presented.
Abstract: Three dimensional printing (3DP), or additive manufacturing, is an exponentially growing process in the fabrication of various technologies with applications in sectors such as electronics, biomedical, pharmaceutical and tissue engineering. Micro and nano scale printing is encouraging the innovation of the aforementioned sectors, due to the ability to control design, material and chemical properties at a highly precise level, which is advantageous in creating a high surface area to volume ratio and altering the overall products’ mechanical and physical properties. In this review, micro/-nano printing technology, mainly related to lithography, inkjet and electrohydrodynamic (EHD) printing and their biomedical and electronic applications will be discussed. The current limitations to micro/-nano printing methods will be examined, covering the difficulty in achieving controlled structures at the miniscule micro and nano scale required for specific applications.


Journal ArticleDOI
TL;DR: In this paper , the authors demonstrate InGaN-based blue and green micro-LEDs from 1 to 20 µm by using laser direct writing lithography and show that the 1-μm blue microLEDs showed a peak external quantum efficiency of 13.02%, which is 9.57% for green ones.
Abstract: Ultra-small micro-LEDs are essential for next-generation display technology. However, micro-LEDs below 5 μm have been seldom reported. In this work, we demonstrate InGaN-based blue and green micro-LEDs from 1 to 20 μm by using laser direct writing lithography. The 1-μm blue micro-LEDs show a peak external quantum efficiency of 13.02%, which is 9.57% for green ones. By characterizing the size-dependent external quantum efficiency and simply assuming that this variety is dominantly determined by the dry-etching induced dead zone, we deduce that the dead zone sizes of carrier injection at the edge of chips are 0.18 and 0.15 μm in blue and green ones, respectively. A time-resolved photoluminescence measurement also shows that carrier lifetime reduction at the edge of blue ones is more serious than that of green ones, reflecting the easier carrier lateral diffusion in the former than the latter. These results exhibit the ability of laser direct writing lithography on micro-LED fabrication and also provide a reference for predicting the limit of their chip size scaling-down.

Journal ArticleDOI
TL;DR: In this paper , a cost-effective and lithography-free method for printing optical metasurfaces is proposed based on resonant absorption of laser light in an optical cavity formed by a multilayer structure of ultrathin metal and dielectric coatings.
Abstract: One of the challenges for metasurface research is upscaling. The conventional methods for fabrication of metasurfaces, such as electron-beam or focused ion beam lithography, are not scalable. The use of ultraviolet steppers or nanoimprinting still requires large-size masks or stamps, which are costly and challenging in further handling. This work demonstrates a cost-effective and lithography-free method for printing optical metasurfaces. It is based on resonant absorption of laser light in an optical cavity formed by a multilayer structure of ultrathin metal and dielectric coatings. A nearly perfect light absorption is obtained via interferometric control of absorption and operating around a critical coupling condition. Controlled by the laser power, the surface undergoes a structural transition from random, semiperiodic, and periodic to amorphous patterns with nanoscale precision. The reliability, upscaling, and subwavelength resolution of this approach are demonstrated by realizing metasurfaces for structural colors, optical holograms, and diffractive optical elements.

Journal ArticleDOI
TL;DR: Shapeshifting diffractive optical elements can be written on an erasable photoresponsive material, whose morphology can be changed in real time to provide different on-demand optical functionalities as discussed by the authors .
Abstract: In optical devices like diffraction gratings and Fresnel lenses, light wavefront is engineered through the structuring of device surface morphology, within thicknesses comparable to the light wavelength. Fabrication of such diffractive optical elements involves highly accurate multistep lithographic processes that in fact set into stone both the surface morphology and optical functionality, resulting in intrinsically static devices. In this work, this fundamental limitation is overcome by introducing shapeshifting diffractive optical elements directly written on an erasable photoresponsive material, whose morphology can be changed in real time to provide different on-demand optical functionalities. First a lithographic configuration that allows writing/erasing cycles of aligned optical elements directly in the light path is developed. Then, the realization of complex diffractive gratings with arbitrary combinations of grating vectors is shown. Finally, a shapeshifting diffractive lens that is reconfigured in the light-path in order to change the imaging parameters of an optical system is demonstrated. The approach leapfrogs the state-of-the-art realization of optical Fourier surfaces by adding on-demand reconfiguration to the potential use in emerging areas in photonics, like transformation and planar optics.

Journal ArticleDOI
TL;DR: In this article , the authors demonstrate power-efficient, thermo-optic, silicon nitride waveguide phase shifters for blue, green, and yellow wavelengths, which operate with low power consumption due to a suspended structure and multi-pass waveguide design.
Abstract: We demonstrate power-efficient, thermo-optic, silicon nitride waveguide phase shifters for blue, green, and yellow wavelengths. The phase shifters operated with low power consumption due to a suspended structure and multi-pass waveguide design. The devices were fabricated on 200-mm silicon wafers using deep ultraviolet lithography as part of an active visible-light integrated photonics platform. The measured power consumption to achieve a π phase shift (averaged over multiple devices) was 0.78, 0.93, 1.09, and 1.20 mW at wavelengths of 445, 488, 532, and 561 nm, respectively. The phase shifters were integrated into Mach-Zehnder interferometer switches, and 10 - 90% rise(fall) times of about 570(590) μs were measured.

Journal ArticleDOI
20 Jan 2022-ACS Nano
TL;DR: In this paper , a strategy to support high-order photonic cavity modes upon side illumination on Woodpile photonic crystals (WPCs) was devised to generate prominent reflectance peaks in the visible spectrum.
Abstract: It remains a challenge to directly print arbitrary three-dimensional shapes that exhibit structural colors at the micrometer scale. Woodpile photonic crystals (WPCs) fabricated via two-photon lithography (TPL) are elementary building blocks to produce 3D geometries that generate structural colors due to their ability to exhibit either omnidirectional or anisotropic photonic stop bands. However, existing approaches produce structural colors on WPCs when illuminating from the top, requiring print resolutions beyond the limit of commercial TPL, which necessitates postprocessing techniques. Here, we devised a strategy to support high-order photonic cavity modes upon side illumination on WPCs that surprisingly generate prominent reflectance peaks in the visible spectrum. Based on that, we demonstrate one-step printing of 3D photonic structural colors without requiring postprocessing or subwavelength features. Vivid colors with reflectance peaks exhibiting a full width at half-maximum of ∼25 nm, a maximum reflectance of 50%, a gamut of ∼85% of sRGB, and large viewing angles were achieved. In addition, we also demonstrated voxel-level manipulation and control of colors in arbitrary-shaped 3D objects constituted with WPCs as unit cells, which has potential for applications in dynamic color displays, colorimetric sensing, anti-counterfeiting, and light-matter interaction platforms.

Journal ArticleDOI
01 Mar 2022-Matter
TL;DR: In this article , an advanced liquid metal nano-patterning technique based on pulsed laser lithography (PLL) was introduced to create self-packaged, high-resolution liquid metal patterns.

Journal ArticleDOI
TL;DR: In this article , a microcup, fabricated by two-photon lithography, coupled with in situ pressure sensor and observation cameras, reveals the detailed nature of its attachment/detachment under water.
Abstract: Octopus, clingfish, and larva use soft cups to attach to surfaces under water. Recently, various bioinspired cups have been engineered. However, the mechanisms of their attachment and detachment remain elusive. Using a novel microcup, fabricated by two-photon lithography, coupled with in situ pressure sensor and observation cameras, we reveal the detailed nature of its attachment/detachment under water. It involves elasticity-enhanced hydrodynamics generating “self-sealing” and high suction at the cup-substrate interface, converting water into “glue.” Detachment is mediated by seal breaking. Three distinct mechanisms of breaking are identified, including elastic buckling of the cup rim. A mathematical model describes the interplay between the attachment/detachment process, geometry, elasto-hydrodynamics, and cup retraction speed. If the speed is too slow, then the octopus cannot attach; if the tide is too gentle for the larva, then water cannot serve as a glue. The concept of “water glue” can innovate underwater transport and manufacturing strategies.

Journal ArticleDOI
TL;DR: The scanning probe lithography (SPL) is a critical nanofabrication method with great potential to evolve into a disruptive atomic-scale fabrication technology to meet these demands as discussed by the authors .
Abstract: High-throughput and high-accuracy nanofabrication methods are required for the ever-increasing demand for nanoelectronics, high-density data storage devices, nanophotonics, quantum computing, molecular circuitry, and scaffolds in bioengineering used for cell proliferation applications. The scanning probe lithography (SPL) nanofabrication technique is a critical nanofabrication method with great potential to evolve into a disruptive atomic-scale fabrication technology to meet these demands. Through this timely review, we aspire to provide an overview of the SPL fabrication mechanism and the state-the-art research in this area, and detail the applications and characteristics of this technique, including the effects of thermal aspects and chemical aspects, and the influence of electric and magnetic fields in governing the mechanics of the functionalized tip interacting with the substrate during SPL. Alongside this, the review also sheds light on comparing various fabrication capabilities, throughput, and attainable resolution. Finally, the paper alludes to the fact that a majority of the reported literature suggests that SPL has yet to achieve its full commercial potential and is currently largely a laboratory-based nanofabrication technique used for prototyping of nanostructures and nanodevices.

Journal ArticleDOI
TL;DR: In this article , a direct in situ photolithography technique was proposed to pattern perovskite quantum dots (PQDs) based on the photopolymerization catalyzed by lead bromide complexes.
Abstract: Photolithography has shown great potential in patterning solution-processed nanomaterials for integration into advanced optoelectronic devices. However, photolithography of perovskite quantum dots (PQDs) has so far been hindered by the incompatibility of perovskite with traditional optical lithography processes where lots of solvents and high-energy ultraviolet (UV) light exposure are required. Herein, we report a direct in situ photolithography technique to pattern PQDs based on the photopolymerization catalyzed by lead bromide complexes. By combining direct photolithography with in situ fabrication of PQDs, this method allows to directly photolithograph perovskite precursors, avoiding the complicated lift-off processes and the destruction of PQDs by solvents or high-energy UV light, as PQDs are produced after lithography exposure. We further demonstrate that the thiol-ene free-radical photopolymerization is catalyzed by lead bromide complexes in the perovskite precursor solution, while no external initiators or catalysts are needed. Using direct in situ photolithography, PQD patterns with high resolution up to 2450 pixels per inch (PPI), excellent fluorescence uniformity, and good stability, are successfully demonstrated. This work opens an avenue for non-destructive direct photolithography of high-efficiency light-emitting PQDs, and potentially expands their application in various integrated optoelectronic devices.

Journal ArticleDOI
TL;DR: In this article , three triphenylamine derivatives bearing formyl groups were synthesized and tested for their suitability as photo-initiators for multi-photon lithography, and the efficiency of the photo-polymerization was tested by two different setups and for a variety of applications.
Abstract: Three new triphenylamine derivatives bearing formyl groups were synthesized and tested for their suitability as photo-initiators for multi-photon lithography. The efficiency of the photo-polymerization was tested by two different setups and for a variety of applications. Based on their broad fabrication window, the well-defined 3D prints in the sub-micron range (resolution and aspect ratio), the solubility, we demonstrate these photo-initiators are a viable alternative to standard photo-initiators.

Journal ArticleDOI
TL;DR: A resist-free lithography method, based on direct laser patterning and resist- free electrode transfer, which avoids unintentional modification to the 2D materials throughout the process is reported, which will enable a rational design of reproducible processes for making large-scale integrated circuits based on twoD materials and other surface-sensitive materials.
Abstract: Photolithography and electron-beam lithography are the most common methods for making nanoscale devices from semiconductors. While these methods are robust for bulk materials, they disturb the electrical properties of two-dimensional (2D) materials, which are highly sensitive to chemicals used during lithography processes. Here, we report a resist-free lithography method, based on direct laser patterning and resist-free electrode transfer, which avoids unintentional modification to the 2D materials throughout the process. We successfully fabricate large arrays of field-effect transistors using MoS2 and WSe2 monolayers, the performance of which reflects the properties of the pristine materials. Furthermore, using these pristine devices as a reference, we reveal that among the various stages of a conventional lithography process, exposure to a solvent like acetone changes the electrical conductivity of MoS2 the most. This new approach will enable a rational design of reproducible processes for making large-scale integrated circuits based on 2D materials and other surface-sensitive materials.

Book ChapterDOI
TL;DR: In this paper, two different photolithography methods are described: liquid and dry photolithographic methods, which are used to produce polymer-based microdevices. But neither of them can be used for fabrication.
Abstract: Organs-on-Chip devices are generally fabricated by means of photo- and soft lithographic techniques. Photolithography is a process that involves the transfer of a pattern onto a substrate by a selective exposure to light. In particular, in this chapter two different photolithography methods will be described: liquid and dry photolithography. In liquid photolithography, a silicon wafer is spin-coated with liquid photoresist and exposed to UV light in order to be patterned. In dry photolithography, the silicon wafer is laminated with resist dry film before being patterned through UV light. In both cases, the UV light can be collimated on top of the wafer either through photomasks or by direct laser exposure. The obtained patterned wafer is then used as a mold for the soft lithographic process (i.e., replica molding) to produce polymer-based microdevices.

Journal ArticleDOI
TL;DR: In this paper , the authors describe the fabrication process of a binary transmission grating for the Cassegrain U-Band Efficient Spectrograph (CUBES) at the very large telescope of the European Southern Observatory.
Abstract: CUBES is the Cassegrain U-Band Efficient Spectrograph, a high-efficiency instrument operating in the UV spectral range between 300nm and 400nm with a resolution not less than 20000. CUBES is to be installed at a Cassegrain focus of the Very Large Telescope of the European Southern Observatory. The paper briefly reviews various types of devices used as dispersing elements in astronomical spectrographs to achieve high resolution, before identifying binary transmission gratings produced by microlithography as the best candidate technology for the CUBES instrument. We describe the lithographic fabrication technology in general, two different design considerations to achieve the required high-resolution transmission grating, its prototyping by a direct-write lithographic fabrication technology, and the characterization of the achieved optical performance. An outlook to the realization of the grating for the final instrument, taking the most recent developments of lithographic writing capabilities into consideration is given.

Journal ArticleDOI
TL;DR: In this article , a scalable synthetic strategy to fabricate low-resistance edge contacts to atomic transistors using a thermally stable 2D metal, PtTe2, is presented.
Abstract: The edge-to-edge connected metal-semiconductor junction (MSJ) for two-dimensional (2D) transistors has the potential to reduce the contact length while improving the performance of the devices. However, typical 2D materials are thermally and chemically unstable, which impedes the reproducible achievement of high-quality edge contacts. Here we present a scalable synthetic strategy to fabricate low-resistance edge contacts to atomic transistors using a thermally stable 2D metal, PtTe2. The use of PtTe2 as an epitaxial template enables the lateral growth of monolayer MoS2 to achieve a PtTe2-MoS2 MSJ with the thinnest possible, seamless atomic interface. The synthesized lateral heterojunction enables the reduced dimensions of Schottky barriers and enhanced carrier injection compared to counterparts composed of a vertical 3D metal contact. Furthermore, facile position-selected growth of PtTe2-MoS2 MSJ arrays using conventional lithography can facilitate the design of device layouts with high processability, while providing low contact resistivity and ultrashort transfer length on wafer scales.

Journal ArticleDOI
TL;DR: Large-scale multilevel tunable absorbers realized with nanoparticle-based solution fabrication techniques are expected to open the way for advanced thermo-optical cryptographic devices based on tunable reflective coloration and near-infrared absorption.
Abstract: Reconfigurable light absorbers have attracted much attention by providing additional optical responses and expanding the number of degrees of freedom in security applications. Fabry-Pèrot absorbers based on phase change materials with tunable properties can be implemented over large scales without the need for additional steps such as lithography, while exhibiting reconfigurable optical responses. However, a fundamental limitation of widely used phase change materials such as vanadium dioxide and germanium-antimony-tellurium-based chalcogenide glasses is that they have only two distinct phases; therefore, only two different states of optical properties are available. Here, we experimentally demonstrate active multilevel absorbers that are tuned by controlling the external temperature. This is produced by creating large-scale lithography-free multilayer structures with both undoped and tungsten-doped solution-processed monoclinic-phase vanadium dioxide thin films. The doping of vanadium dioxide with tungsten allows for the modulation of the phase-transition temperature, which results in an extra degree of freedom and therefore an additional step for the tunable properties. The proposed multilevel absorber is designed and characterized both numerically and experimentally. Such large-scale multilevel tunable absorbers realized with nanoparticle-based solution fabrication techniques are expected to open the way for advanced thermo-optical cryptographic devices based on tunable reflective coloration and near-infrared absorption.