scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2001"


Patent
23 Aug 2001
TL;DR: In this paper, Mask Pattern validation is improved by applying a mask pattern model and a wafer pattern model to the validation process, producing patterns that are optimized for both reticle manufacture and wafer fabrication.
Abstract: An improvement to the optical proximity correction process used in photolithography. Mask pattern modeling is added to the optical proximity correction process, producing patterns that are optimized for both reticle manufacture and wafer fabrication. Pattern validation is improved by applying a mask pattern model and a wafer pattern model to the validation process. Reticle inspection is improved by adding a mask inspection tool model that comprehends the limitations of the inspection tool.

79 citations


Proceedings ArticleDOI
05 Sep 2001
TL;DR: In this article, the authors applied the differential interference contrast method to phase defect inspection for alternating phase shifting mask (Alt-PSM), which is commonly used for Die to Die or Die to Database comparison method.
Abstract: Photomask pattern inspection using transmitted light and/or reflected light is commonly used for Die to Die or Die to Database comparison method. We have applied the differential interference contrast method to phase defect inspection for alternating phase shifting mask (Alt-PSM). The key parameters for optics are resident phase in an interferometer, shearing direction and distance between two spots, which are determined by Nomarski prism design. Firstly, we studied defect image contrast by simulation. Chrome edge defects are more detectable than isolated center defects from the simulation result. Next, we configured a reflective type, differential interference optics using an Ar ion laser as a light source. A test mask having 70-degree phase defects on 520, 600, and 720 nm CD are inspected. Edge defects down to 520nm CD were detectable compared with conventional reflective method.

49 citations


Patent
Xiaoming Chen1, Charles H. Howard1, Franklin D. Kalk1, Kong Son1, Paul S. Chipman1 
20 Nov 2001
TL;DR: In this paper, the runability of a photomask inspection tool that inspects plural sets of die, each die having a standard simulated industrial device feature at plural technology nodes, is evaluated.
Abstract: A method and apparatus evaluates the runability of a photomask inspection tool that inspects plural sets of die, each die having a standard simulated industrial device feature at plural technology nodes. A technology node size is determined for each feature at which inspection by the tool provides no false detection of faults. A sensitivity module included on a photomask test plate along with a runability module allows determination of inspection tool sensitivity and runability in a single test sequence.

41 citations


Patent
26 Jul 2001
TL;DR: In this article, a coating of amorphous poly(fluorocarbon) film was used to reduce contamination at the photomask surface, and the preparation of the coated photomasks was described.
Abstract: Photomasks for photolithographic processes are described that incorporate a protective amorphous poly(fluorocarbon) film on their surface, the film serving to reduce contamination at the photomask surface. The preparation of the coated photomasks is also described, as is their use in a photolithographic process.

24 citations


Proceedings ArticleDOI
08 Oct 2001
TL;DR: In this article, an automated contact inspection system using an in-line CD SEM and applied it to monitor contact etching processes was developed, which is composed of a data processing system and an inline SEM.
Abstract: We have developed an automated contact inspection system using an in-line CD SEM and applied it to monitor contact etching processes. As the design rule shrinks, monitoring of the contact etching, which cannot be detected by the conventional optical inspection systems, are becoming one of the most critical issues in semiconductor processing. Though there are e-beam based inspection systems or manual inspection sequence with in-line SEM (Scanning Electron Microscope), monitoring small and electrical defects has a few fundamental limitations. E-beam inspection systems have low throughput and a high price as a mass production tool. In the case of the manual inspection system, the inspection result depends on the operator and it is difficult to quantify the defect data. We have developed an automated contact inspection system to overcome these limitations. The system is composed of a data processing system and an in-line SEM. The automated in-line SEM inspects and stores the images of specified points on the wafer. The data processing system receives and manipulates the images to indicate the etching problem. It was shown that the scanning electron image of the contact is related to failures such as insufficient etching or residuals inside the contact.

23 citations


Patent
16 Oct 2001
TL;DR: In this paper, a method to determine a mask correction unit 3 based on pattern space dependency 7 in the pattern obtained in the photolithographic process and etching process is presented.
Abstract: The present invention is a method capable of fabricating photomasks with improved control of gate line width wafers. More specifically a method is provided to determine a mask correction unit 3 based on pattern space dependency 7 in the pattern obtained in the photolithographic process and etching process, and correct the mask fabrication design data 1 utilizing the mask correction unit 3, and fabricate photomasks using photolithographic equipment.

20 citations


Patent
15 Feb 2001
TL;DR: In this paper, the authors proposed a defect inspecting method by which necessary and sufficient defect inspection advantageous in time and cost is performed by performing the inspection while dividing a mask defect into an area where the influence of mask defect on the operation is large and an area with small influence when inspection sensitivity is adjusted.
Abstract: PROBLEM TO BE SOLVED: To provide a defect inspecting method by which necessary and sufficient defect inspection advantageous in time and cost is performed by performing the inspection while dividing a mask defect into an area where the influence of a mask defect on the operation is large and an area where the influence is small when inspection sensitivity is adjusted. SOLUTION: According to the influence of the defect on a photomask 10 on the operation of the device, inspection areas (e.g. areas A and B) on the photomask are divided into two or more areas and inspection sensitivity is set for each divided inspection area. According to the patterns A and B of the photomask 10, defect inspection is performed with desired inspection sensitivity to enable necessary and sufficient defect inspection. Further, defect inspection data wherein the defect inspections ensitivity and defect inspection positions are defined for the specific pattern of the mask having a plurality of inspection areas are prepared and photomask inspection is performed according to the data. COPYRIGHT: (C)2002,JPO

16 citations


Patent
31 Aug 2001
TL;DR: In this article, a reticle inspection system for inspecting reticles is presented, which enables the detection of errors in line widths of images that a mask actually forms at a photoresist.
Abstract: PROBLEM TO BE SOLVED: To provide mask inspection which enables the detection of errors in line widths of images that a mask actually forms at a photoresist. SOLUTION: A reticle inspection system for inspecting reticles is usable as an incoming inspection tool and a periodic and preexposure inspection tool. The shop of the mask is usable as an inspection tool made adaptable to customers and as a printable error inspection tool. This system detects two kinds of the defects; (1) the errors of line of printed images (2) the defects of the surfaces. The errors of the line width are detected in die regions. The detection is executed by acquiring the images of the reticle under the same conditions as exposure conditions (namely, a wavelength, numerical aperture, sigma and illumination opening type) and by comparing the many dies in order to find out the errors of the line width. The defects of the surfaces are detected over the entire part of the reticles. The detection of defects of the surfaces is executed by detecting the defects of the particles and the other surfaces by acquiring the transmitted and darkfield reflected images and using the upper part of the bonds.

15 citations


Patent
24 Jul 2001
TL;DR: In this article, the authors proposed a technique for enhancing the operating efficiency of an inspection when various conditions required for the inspection are set in the technique for inspecting a fine circuit pattern by using an image formed by irradiating white light, laser beam or electron beam.
Abstract: PROBLEM TO BE SOLVED: To apply a technique for enhancing the operating efficiency of an inspection when various conditions required for the inspection are set in the technique for inspecting a fine circuit pattern by using an image formed by irradiating white light, laser beam or electron beam. SOLUTION: An inspection apparatus for a semiconductor device is provided with a function by which a plurality of parameters of the inspection apparatus can be automatically set by using design data on the semiconductor device.

14 citations


01 Jan 2001
TL;DR: The impact of special algorithms for mask inspection of OPC assist features and suitable MRC adoptions will be discussed in the present paper.
Abstract: By approaching the physical resolution limits of optical lithography for a given wavelength, data complexity on certain layers of chip layouts increases, while feature sizes decrease. This becomes even more apparent when introducing optical enhancement techniques. At the same time, more and more complex procedures to fracture mask data out of a DRC clean chip-GDS2 require checks on mask data regarding integrity, as well as mask manufacturability and inspectability. To avoid expensive redesigns and large mask house cycle times it is important to find shortcomings before the data are submitted to the mask house. As an approach to the situation depicted, a (Mask) Manufacturing Rule Check (MRC) can be introduced. Aggressive Optical Proximity Correction (OPC) is a special challenge for mask making. Recently, special algorithms for mask inspection of OPC assist features have been implemented by equipment vendors. Structures smaller than two inspection pixels, like assist structures, can be successfully inspected with certain algorithms. The impact of those algorithms on mask pattern requirements and suitable MRC adoptions will be discussed in the present paper.

12 citations


Proceedings ArticleDOI
22 Jan 2001
TL;DR: In this paper, Mask Manufacturing Rule Check (MRC) is introduced for mask inspection of OPC assist features and the impact of those algorithms on mask pattern requirements and suitable MRC adoptions are discussed.
Abstract: By approaching the physical resolution limits of optical lithography for a given wavelength, data complexity on certain layers of chip layouts increases, while feature sizes decrease. This becomes even more apparent when introducing optical enhancement techniques. At the same time, more and more complex procedures to fracture mask data out of a DRC clean chip-GDS2 require checks on mask data regarding integrity, as well as mask manufacturability and inspectability. To avoid expensive redesigns and large mask house cycle times it is important to find shortcomings before the data are submitted to the mask house. As an approach to the situation depicted, a (Mask) Manufacturing Rule Check (MRC) can be introduced. Aggressive Optical Proximity Correction (OPC) is a special challenge for mask making. Recently, special algorithms for mask inspection of OPC assist features have been implemented by equipment vendors. Structures smaller than two inspection pixels, like assist structures, can be successfully inspected with certain algorithms. The impact of those algorithms on mask pattern requirements and suitable MRC adoptions will be discussed in the present paper.

Patent
30 Mar 2001
TL;DR: In this paper, the photomask of an inspection object is illuminated over all the inspection area with an almost uniform quantity of light by an illumination system 20, and the image of that image is picked up by an optical image forming system 8 and a CCD camera 4 having the Rayleigh resolution limit with which the pattern of the inspection object on the image is not resolved.
Abstract: PROBLEM TO BE SOLVED: To surely detect the local CD defect of a photomask with an inexpensive inspection device. SOLUTION: The photomask of an inspection object is illuminated over all the inspection area with the almost uniform quantity of light by an illumination system 20. The image of that photomask is picked up by an optical image forming system 8 and a CCD camera 4 having the Rayleigh resolution limit with which the pattern of the inspection object on the photomask is not resolved. The light intensity distribution of that image is analyzed by an image processor 3 so that the local CD defect of the photomask can be inspected.

Patent
10 Oct 2001
TL;DR: In this article, a mask pattern for correction is provided on a mask, and signal correction and focus correction are performed, based on a signal for correction obtained by photographing the pattern.
Abstract: PROBLEM TO BE SOLVED: To correct erroneous inspection due to differences among individual masks in the mask inspection. SOLUTION: Apart from a main pattern, a pattern for correction is provided on a mask. Signal correction and focus correction are performed, based on a signal for correction obtained by photographing the pattern for correction.

Proceedings ArticleDOI
22 Jan 2001
TL;DR: In this paper, a UV inspection tool has been used to image and inspect Next Generation Lithography (NGL) reticles, and simulation results show that improved EUV inspection images can be obtained with a low reflectivity absorbing layer and proper choice of buffer layer thickness.
Abstract: A UV inspection tool has been used to image and inspect Next Generation Lithography (NGL) reticles. Inspection images and simulations have been used to provide feedback to mask makers so that inspectability of NGL masks can be optimized. SCALPEL masks have high optical contrast and look much the same in reflection as conventional chrome on glass masks do in transmission. EPL stencil masks can be imaged well in reflection, but defects below the top surface, in the cutouts, may not be detectable optically. EUV masks that have been made to date tend to have relatively low contrast, with line edge profiles that are complex due to interference effects. Simulation results show that improved EUV inspection images can be obtained with a low reflectivity absorbing layer and proper choice of buffer layer thickness.

Patent
Miyagawa Seiji1
29 Jun 2001
TL;DR: In this article, a negative resist is used for the photomask by considering double exposure for the wiring of the region where two mask patterns of exposure original plate formed with >=2 mask patterns in exposure, the wiring parts ANDed of the two mask pattern are subjected to broadening processing to attain a specified broadening quantity.
Abstract: PROBLEM TO BE SOLVED: To avert the failure in inspection by the frequent occurrence of errors at double exposure points in photomask inspection. SOLUTION: When a negative resist is used for the photomask by considering double exposure for the wiring of the region where two mask patterns of exposure original plate formed with >=2 mask patterns in exposure, the wiring parts ANDed of the two mask patterns are subjected to broadening processing to attain a specified broadening quantity. When a position resist is used for the photomask, the wiring parts where the two mask patterns are superposed are subjected to narrowing processing to attain a specified narrowing quantity. The inspection is carried out by reflecting such wiring parts in the data for inspection.

Proceedings ArticleDOI
05 Sep 2001
TL;DR: In this article, the authors present inspection images and inspection results for EUV and EPL programmed defect test reticles using both UV and DUV reticle inspection systems, and provide feedback to the mask manufacturing process to help optimize the inspectability of NGL masks, as well as determining whether the required sensitivity for the 100 nm and 70 nm nodes can be met with optical inspection.
Abstract: Next Generation Lithography (NGL) reticle inspection poses some difficult problems. The masks dictate that reflection images, rather than the more usual transmission images, be used for inspection. The smaller linewidths and feature sizes of NGL will require the optical inspection images to have better resolution than has been needed for conventional masks. In this paper we present inspection images and inspection results for EUV and EPL programmed defect test reticles using both UV and DUV reticle inspection systems. Our emphasis has been on providing feedback to the mask manufacturing process to help optimize the inspectability of NGL masks, as well as determining whether the required sensitivity for the 100 nm and 70 nm nodes can be met with optical inspection. Simulated and actual images of NGL masks have proven useful in identifying the important factors in optimizing image contrast. We have found that image contrast varies markedly with inspection wavelength, and that the inspection wavelength must be considered in the design of NGL masks if optimum defect sensitivity is to be obtained. This research was sponsored in part by NIST-ATP and KLA-Tencor Cooperative Agreement #70NANB8H44024.

Proceedings ArticleDOI
22 Jan 2001
TL;DR: In this paper, the effects of mask printability of various OPC defect types on a MoSi APSM mask using an MSM-100 AIMS tool operating at 248nm as a printability prediction tool are examined.
Abstract: This paper examines the effects of mask printability of various OPC defect types on a MoSi APSM mask using an MSM-100 AIMS tool operating at 248nm as a printability prediction tool. Printability analysis will be used to address differences in intensity, image capture wavelength, defocus, defect size, type, and placement on two substrate materials. Defect correlation to photomask CD error, aerial image intensity error, and MEEF on high-end KrF photomasks will also be studied.

Proceedings ArticleDOI
09 Apr 2001
TL;DR: In this paper, the performance and reliability of quality assessment using the Q-CAP cluster tool for inspection and qualification of photomasks is evaluated. But the focus is on the impact of CD deviations, loss of pattern fidelity, and mask defects on wafer level.
Abstract: The reduction of wavelength in optical lithography and the use of enhancement techniques like phase shift technology, optical proximity correction (OPC), or off-axis illumination, lead to new specifications for advanced photomasks: a challenge for cost effective mask qualification. `Q-CAP', the Qualification Cluster for Advanced Photomasks, comprising different inspection tools (a photomask defect inspection station, a CD metrology system, a photomask review station and a stepper simulation software tool) was developed to face these new requirements. This paper will show the performance and reliability of quality assessment using the Q-CAP cluster tool for inspection and qualification of photomasks. Special attention is paid to a key issue of mask qualification: the impact of CD deviations, loss of pattern fidelity-- especially for OPC pattern and mask defects on wafer level.

Patent
28 Sep 2001
TL;DR: In this paper, a photomask appearance verification system was proposed for converting a coordinate value detected by a wafer inspection into an appropriate coordinate value to be used in a photOMask inspection and for defect analysis.
Abstract: PROBLEM TO BE SOLVED: To provide a photomask appearance verification system for converting a coordinate value detected by a wafer inspection into a coordinate value to be used in a photomask inspection and for performing defect analysis of a photomask in an early stage. SOLUTION: The coordinate value of a defective place which is detected by a wafer inspecting device 4 and wafer inspection information are transmitted to a coordinate transformation computer 6 by using an inspection data management computer 5, the coordinate value detected by the wafer inspection is transformed into the coordinate value on the photomask based on the wafer inspection information and photomask inspection information and the defective place of the photomask is analyzed. COPYRIGHT: (C)2003,JPO

Proceedings ArticleDOI
05 Sep 2001
TL;DR: This paper presents the metrology software called Linewidth Bias Monitor (LBM) as a method to characterize pattern-loading effects on an SOC.
Abstract: With the advent of system-on-chip (SOC) devices, resolving typical problems of composite designs is getting more urgent. The continuous effort for achieving tighter critical dimension (CD) tolerances together with the known phenomena of pattern density loading makes the mask fidelity issue for SOC technology a unique and prominent issue. The typical characteristic of an SOC with respect to CD control is the diversity of linewidths and pattern density over the chip. This paper presents the metrology software called Linewidth Bias Monitor (LBM) as a method to characterize pattern-loading effects on an SOC.

Proceedings ArticleDOI
22 Aug 2001
TL;DR: In this article, the inspectability of advanced photomasks becomes increasingly difficult as OPC (Optical Proximity Correction) structures are incorporated in the mask design, such as serifs and sub-resolution assist features, are sub-specification geometries for the inspection tool.
Abstract: In the effort to extend the life of a technology node, reticle enhancement techniques are utilized extensively. The inspectability of advanced photomasks becomes increasingly difficult as OPC (Optical Proximity Correction) structures are incorporated in the mask design. OPC structures, such as serifs and sub-resolution assist features, are sub-specification (below the defined specification) geometries for the inspection tool. This makes it difficult to maintain high sensitivity on contamination inspection, while not detecting these OPC structures as false defects. Mask inspection can be broken down into two categories: pattern integrity and contamination, the latter of which is the topic of this paper.

Patent
27 Jul 2001
TL;DR: In this paper, an aperture that can be opened or closed having a number of small apertures is installed directly above a scattering-type mask to be examined, a current measuring device for detecting the current value of electron beams through the mask and an aperture ratio that is calculated from mask pattern data being inputted to a computer 22 in advance is compared with the current values of the electron beams.
Abstract: PROBLEM TO BE SOLVED: To detect the missing of a mask pattern or a deposit using transmission electrons instead of reflection electrons when inspecting the defect of a scattering-type mask by applying electron beams. SOLUTION: An aperture 10 that can be opened or closed having a number of small apertures 14 that can be opened or closed electrically is installed directly above a scattering-type mask 1 to be examined, a current-measuring device 11 for detecting the current value of electron beams through the mask 1 is installed directly below the scattering-type mask 1, and an aperture ratio that is calculated from mask pattern data being inputted to a computer 22 in advance is compared with the current value of the electron beams, thus detecting the pattern defect of the scattering-type mask 1.

Proceedings ArticleDOI
22 Jan 2001
TL;DR: In this paper, a reticle CD qualification procedure is proposed based on combining conventional CD metrology and Linewidth Bias Monitor (LBM) as a standard part of mask inspection.
Abstract: CD uniformity is one of the key discussion topics in the ramp-up process of new technologies. The impact of mask quality is getting more and more attention in this process. The paper presents improving wafer CD uniformity control by application of new reticle CD qualification procedure. The new procedure is based on combining conventional CD metrology and Linewidth Bias Monitor (LBM) as a standard part of mask inspection.

Patent
19 Jul 2001
TL;DR: In this paper, a method for correcting the pattern defect of the mask 101 having first position coordinate correction patterns 5 used for rough correction between the position coordinates of a defect inspection device and the positions of a position coordinate of the defect correction device in addition to a mask inspection range 4 has been proposed.
Abstract: PROBLEM TO BE SOLVED: To provide a method for a correcting mask defect which can control the generation of so-called gallium stains by making it possible to rapidly correct the defect after mask inspection. SOLUTION: The method for correcting the pattern defect of the mask 101 having first position coordinate correction patterns 5 used for rough correction between the position coordinates of a defect inspection device and the position coordinates of a defect correction device in addition to a mask inspection range 4 has a stage for calling out the second position coordinate correction patterns 6 stored in mask inspection before the pattern defect is corrected in the defect correction device and applying correction to the coordinate system corrected by using the first position coordinate correction patterns 5 in such a manner as to coincide with the position coordinates of the defect correction device if the each other's position coordinates are deviated when the position coordinates of the second position coordinate correction patterns 6 are specified in accordance with the coordinate system corrected by using the first position coordinate correction patterns 5.

Proceedings ArticleDOI
22 Aug 2001
TL;DR: In this paper, the correlation between wafer CD variation and the relative loss of transmission on the mask is discussed. But the authors focus on the performance of an IC device, as we know, depends upon excellent linewidth control in lithography as the geometry of circuits is being decreased.
Abstract: The performance of an IC device, as we know, depends upon excellent linewidth control in lithography as the geometry of circuits is being decreased. The quality of the mask always plays an important role in this issue. As far as a wafer fab is concerned, the defective mask can lead to not only a dramatic drop in production yield, but also fatal damage for single-chip products. It is observed that a loss of transmission on a mask, detected by a reticle inspection system KLA303-UV STARlight (Simultaneous Transmitted And Reflected light) can bring about unacceptable CD variation on wafers. One major reason for the loss of transmission on masks is from the defect repair process, during which Gallium stain (Ga+) deposits onto the quartz on the chrome side of mask. This problem will become more and more critical for both mask houses and wafer fabs as long as the linewidth keeps shrinking and the design of denser pattern is inevitable. This purpose of this paper is to detail the correlation between wafer CD variation and the relative loss of transmission on the mask. By way of a designed test reticle processed with Gallium deposition by repair tool, inspection, exposure, CD data collection and analysis, we can clearly define the relationship based on I-line mask inspection light source. Following this work, the fab will be able to set up the inspection specifications for any incoming masks to prevent poor CD uniformity occurring in wafers. More importantly, with the design of a variety of patterns with different line/space ratios and device characteristics on this test reticle, we can try to predict the feasibility and severity of the transmission rate loss of mask for 0.15, 0.13 micrometers generations or beyond in order to help mask houses as well as wafer fabs get prepared and work out this problem prior to the advent of the next IC generation.

Proceedings ArticleDOI
09 Apr 2001
TL;DR: In this article, the authors compare the excimer laser with lamp sources and continuous wave (CW) laser in the framework of spectral bandwidth, energetic pulse-to-pulse stability, pulse duration, beam pointing stability, beam direction stability and beam dimension, beam profile and coherence.
Abstract: The discharge pumped excimer laser is a gas laser providing ultra violet (UV) radiation with well defined spectral, temporal and spatial properties. The fast development of excimer lasers in recent years has succeeded in designing very compact, turn-key systems delivering up to 10 W of radiation at 248 nm (5 W at 193 nm and 1 W at 157 nm) with repetition rates up to 1000 Hz [1]. Experimental data on important beam properties of excimer lasers in the field of mask inspection are being presented and discussed. Relevant parameters are spectral bandwidth, energetic pulse-to-pulse stability, pulse duration, beam pointing stability, beam direction stability, beam dimension, beam profile and coherence. We will compare the excimer laser with lamp sources and continuous wave (CW) lasers in the framework of these parameters. The discussion will show future opportunities of compact excimer lasers in optical inspection as well as in mask writing systems, improving resolution and throughput.

Proceedings ArticleDOI
Ikunao Isomura1, H. Tsuchiya, S. Sugihara, Kyoji Yamashita, M. Tabata 
31 Oct 2001
TL;DR: In this article, a new inspection method to improve defect detection sensitivity for a phase shift mask (PSM) in the DUV inspection system is discussed, and a mask inspection system with high detection sensitivity is also required.
Abstract: For the 130 nm generation, the Phase Shift Mask (PSM) is expected to be widely used. Moreover, demand for higher transmission PSM is increasing and a mask inspection system with high detection sensitivity for these masks is also required. In this paper, a new inspection method to improve defect detection sensitivity for a PSM in the DUV inspection system is discussed.

Patent
26 Jan 2001
TL;DR: In this article, a stencil mask is inspected from the spectrum or cutoff wavelength of the detected light, and the detected signal is stored by the computer for controlling, and then the mask stage is controlled by a computer 8 for controlling.
Abstract: PROBLEM TO BE SOLVED: To perform a rapid mask inspection for a stencil mask and the like used for the manufacturing process of a microelectronic circuit. SOLUTION: Incident light emitted from a light source is converted via a spectroscope for incident light into monochromatic light, and is incident on a mask (a stencil mask) 5 to be inspected on a mask stage 6. The mask stage 6 is controlled by a computer 8 for controlling. The light transmitted through the stencil mask 5 is detected by a light detector 12 after elimination of undesired light by a spectroscope 11 for detection. The detected signal is stored by the computer 8 for controlling. The mask 5 to be inspected can be inspected from the spectrum or cutoff wavelength of the detected light.

Proceedings ArticleDOI
22 Jan 2001
Abstract: Embedded phase shift masks (ePSM) are critical to patterning the contact layer of integrated circuit devices of 130 nm technology node and beyond. Required ePSM inspection methodologies needed for the successful manufacturing of a “defect-free” ePSM are discussed in this study. We present an analysis of different inspection schemes for handling inspection system optical signals from tritone ePSM. Programmed defect ePSM plates with 6% shifter material transmission fabricated for 248 nm and 193 nm wafer exposures are characterized by metrology tools and inspected on existing optical mask inspection systems. Capture rates for various defect types are analyzed. The results of inspection sensitivity analysis are also compared with the defect specifications based on a defect printability simulation study. Key challenges ternary ePSM inspection are also discussed. Keywords: embedded phase shift mask, inspection, tritone, ternary. 1. Introduction Embedded phase shift masks (ePSMs) are being used in the semiconductor micro-lithography for high-density patterning of critical device layers, such as the contact layer.

Patent
16 Oct 2001
TL;DR: In this article, a method to determine a mask correction unit 3 based on pattern space dependency 7 in the pattern obtained in the photolithographic process and etching process is presented.
Abstract: of EP1199601The present invention is a method capable of fabricating photomasks with improved control of gate line width wafers. More specifically a method is provided to determine a mask correction unit 3 based on pattern space dependency 7 in the pattern obtained in the photolithographic process and etching process, and correct the mask fabrication design data 1 utilizing the mask correction unit 3, and fabricate photomasks using photolithographic equipment.