scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 1988"


Journal ArticleDOI
TL;DR: In this article, the resolution of focused ion beam (FIB) lithography has been studied by proximity effect measurement and fine pattern fabrication, and a 0.1 μm line pattern according to the gap between square and line patterns was achieved.
Abstract: The resolution of focused ion beam (FIB) lithography has been studied by proximity effect measurement and fine pattern fabrication. In the proximity effect measurement, a 0.1 μm line pattern, according to the gap between square and line patterns, could be achieved. Moreover, 0.1 μm line and space poly(methylmethacrylate) patterns and 0.1 μm linewidth novolak based negative resist could be fabricated at 1×1013 and 2×1012 ions/cm2 dose by 260 keV Be++ FIB with 0.1 μm beam diameter, respectively.

37 citations


Journal ArticleDOI
TL;DR: In this article, a stable helium FI source and a focusing column with a gimbal assembly for beam axis alignment were developed and carried out focusing experiments, and the ion probe diameter was estimated to be ∼200 nm.
Abstract: Despite the many reports on characteristics of hydrogen field ion (FI) sources, there have been only a few reports on focusing experiments We developed a stable helium FI source and a focusing column with a gimbal assembly for beam axis alignment, and carried out focusing experiments Scanning ion microscope images of a Au wire were observed using the focusing column From the image resolution, the ion probe diameter was estimated to be ∼200 nm We exposed a 260‐nm‐thick polymethylmethacrylate resist on a Si substrate, which produced 200‐nm‐wide lines A 70‐nm‐wide space was also formed The proximity effect was negligible even if the space between the lines was 100 nm Thus, we confirmed that a helium FI source is suitable for fine pattern lithography

18 citations


Journal ArticleDOI
TL;DR: In this article, the authors describe techniques suitable for the fabrication of silicon field effect transistor (FET) circuits with gate lengths as small as 0.07 μm, intended for experiments to assess the feasibility of FET technology in the 0.1μm channel length regime.
Abstract: This paper describes techniques suitable for the fabrication of silicon field effect transistor (FET) circuits with gate lengths as small as 0.07 μm, intended for experiments to assess the feasibility of FET technology in the 0.1‐μm channel length regime. High‐resolution electron‐beam lithography using a vector scan system capable of an 8‐nm Gaussian spot size has been performed successfully for all levels, with various resist systems tailored to the specific processing requirements. Proximity correction has been found to be a critical issue and was performed using parameters derived from measured point exposure distributions. Metal liftoff using double‐layer poly(methyl methacrylate) resist has been performed successfully with complex patterns. Better than 0.1‐μm linewidth and 0.05‐μm alignment accuracy is achieved over a 250‐μm field, using tantalum silicide alignment marks.This paper describes techniques suitable for the fabrication of silicon field effect transistor (FET) circuits with gate lengths as small as 0.07 μm, intended for experiments to assess the feasibility of FET technology in the 0.1‐μm channel length regime. High‐resolution electron‐beam lithography using a vector scan system capable of an 8‐nm Gaussian spot size has been performed successfully for all levels, with various resist systems tailored to the specific processing requirements. Proximity correction has been found to be a critical issue and was performed using parameters derived from measured point exposure distributions. Metal liftoff using double‐layer poly(methyl methacrylate) resist has been performed successfully with complex patterns. Better than 0.1‐μm linewidth and 0.05‐μm alignment accuracy is achieved over a 250‐μm field, using tantalum silicide alignment marks.

17 citations


Patent
15 Dec 1988
TL;DR: In this paper, a contour resolving circuit is used for resolving original pattern data, which is transferred from a host computer into contour portion pattern data and inner part pattern data in accordance with the designated dimension, and for outputting the resolved pattern data with the flag data.
Abstract: An electron beam lithography system having a contour resolving circuit for resolving original pattern data which is transferred from a host computer into contour portion pattern data and inner portion pattern data in accordance with the designated dimension, for adding flag data to enable the contour portion pattern data and the inner portion pattern data to be discriminated to the resolved pattern data, and for outputting the resolved pattern data with the flag data. By adding the flag data, the contour portion pattern data and inner portion pattern data can be easily discriminated. The operation to change the electron beam irradiation dose in accordance with the contour portion pattern and inner portion pattern can be fairly easily executed. A pattern can be drawn at a high accuracy while preventing a deformation of the drawn figure due to the proximity effect. A data processing amount in the computer can be reduced. A data transfer amount from the host computer can be also reduced. Thus, an electron beam lithography system having a high throughput can be realized.

14 citations


Patent
26 Oct 1988
TL;DR: A fine pattern forming method capable of forming an accurate fine pattern without charge-up at the time of electron beam or focus ion beam exposure is provided by treating the bottom layer or intermediate layer or silicon containing resist of a multi-layer resist with ion shower irradiation or reducing solvent as mentioned in this paper.
Abstract: A fine pattern forming method capable of forming an accurate fine pattern without charge-up at the time of electron beam or focus ion beam exposure is provided by treating the bottom layer or intermediate layer or silicon containing resist of a multi-layer resist with ion shower irradiation or reducing solvent.

9 citations


Journal ArticleDOI
TL;DR: In this paper, a focused ion beam (FIB) system was used to investigate the accuracy of resist exposure fabrication and the mark detection, and the use of Si, Be, and Au FIBs for the detection of alignment marks covered with PMMA and chloromethylated polystyrene resists.
Abstract: A focused ion beam (FIB) system, fully computer controlled and containing a mounting stage with a laser interferometer, is used to investigate the accuracy of resist exposure fabrication and the mark detection. Fabricated patterns in poly(methylmethacrylate) (PMMA) and chloromethylated polystyrene (CMS) resists on both Si and SiO2 substrates showed good pattern width accuracy. This appears to be because of the absence of the proximity effect in ion beam exposure and the stability of both the Au–Si–Be liquid metal ion source and the FIB system itself. The authors examine the use of Si, Be, and Au FIB’s for the detection of alignment marks covered with PMMA and CMS resists. Detection of marks covered with PMMA resist was possible because of the resist sputter etching produced by the FIB irradiation. For marks covered with CMS resist, the addition of a differential amplifier enabled successful detection here as well.

9 citations


Journal ArticleDOI
TL;DR: In this article, the authors present a closed form, explicit solution of the proximity effect equation for sufficiently regular exposures, and apply these results to two specific examples to show that the proximity equation has no solution for ideal line space arrays and that it has no physically meaningful solution for cosine lines if the line spacing becomes too small.
Abstract: In electron beam lithography, the p r o x i m i t y e f f e c t e q u a t i o n is used to model the relationship between exposure (energy absorbed by the resist) and dose (energy delivered by the incident beam). The equation states that the exposure equals the convolution of the dose with a double Gaussian energy intensity distribution. The first term of the double Gaussian represents small range forward scattering effects, and the second represents larger range backward scattering effects. In this paper we present a closed form, explicit, solution of the proximity effectequation. The approach splits the equation into two equations: a backward diffusionequation to correct for the forward scattering and a forward diffusionequation to correct for the backward scattering. We give explicit formulas for the solutions of these two equations, which leads to the explicit solution of the proximity effectequation for sufficiently regular exposures. Finally, we apply these results to two specific examples to show that the proximity equation has no solution for ideal line–space arrays and that it has no physically meaningful solution for ‘‘cosine lines’’ if the line spacing becomes too small.

9 citations


Proceedings ArticleDOI
01 Jan 1988
TL;DR: In this article, the authors present a characterization of AZ-5214 resist in image reversal mode using a single-layer process that appears to be viable for submicron processing, however it does exhibit some unique problems that had to be solved during process development.
Abstract: The complete characterization of a resist imaging process is critical to it's success in a production environment. AZ-5214 resist in image reversal mode offers a single layer process that appears to be viable for submicron processing. It does, however exhibit some unique problems that had to be solved during process development. These are: 1) an extreme sensitivity to processing delays, 2) undercut profiles on reflective metal surfaces and 3) different dry etch characteristics. In this development effort the key advantages of image reversal were: reduced proximity effects, higher resolution, vertical resist profiles, as well as greater exposure latitude. AZ-5214 used in image reversal mode is very sensitive to any change in delay time during the processing sequence. In each case increased delay time causes growth in linewidth. This change in dimension is most significant right after the exposure and bake steps. The problem can be minimized by employing a stabilization time after softbake and exposure. Integrating the reversal bake, flood expose, and develop sequence in a single track is also necessary for consistent dimensional control. Negative tone processing of AZ-5214 resist exhibits undercut profiles at the resist metal interface. Metals with different reflectivity such as aluminum, moly, and Ti/W all demonstrate this problem. The use of anti-reflection layers provide vertical profiles at the expense of increased process complexity. Layers that have shown good results are PE/CVD nitride and PE/CVD oxide. The process characterization data includes: exposure and focus latitude for a range of feature sizes, proximity effect data for dense and isolated features, linewidth uniformity after etch, and dwell time latitude. Also included are the results of daily particle counts over a four month period.

9 citations


Journal ArticleDOI
TL;DR: The efficacy of the GHOST proximity correction technique for achieving submicron linewidth control in electron beam lithography has been experimentally evaluated and a computer model was developed to estimate the accuracy of this technique on different substrates.
Abstract: The efficacy of the GHOST proximity correction technique for achieving submicron linewidth control in electron beam lithography has been experimentally evaluated Using the AT&T electron beam exposure systems, the GHOST parameters (correction dose, defocused beam diameter, and overlay accuracy) required for proximity exposure correction at 20 kV were determined via scanning electron microscopy and electrical linewidth measurement techniques Optimum proximity correction conditions were established from a matrix of correction doses and correction beam diameters on two different substrates: chromium on glass and aluminum on silicon On chromium, linewidth control of better than ±005 μm was obtained using a 3‐μm beam and a correction dose between 30% and 40% of incident, or with a 4‐μm beam and a 40% correction dose Even better proximity correction was achieved on aluminum with a 5‐μm defocused beam and a 30% correction dose In conjunction with the experimental data, a computer model was developed to inte

8 citations


Journal ArticleDOI
TL;DR: In this paper, a ring-shaped exposure cell is used to determine the various parameters of the popularly used double Gaussian approximation of the proximity function, which is shown to be accurate for gate lengths in the range 0.1-0.5 μm.
Abstract: Proximity parameters are determined for the electron beam exposure of high‐resolution poly(methylmethacrylate) resist for the particular application of defining submicron gate lengths for GaAs metal–semiconductor field effect transistor (MESFET) structures. A ring‐shaped exposure cell is used to determine the various parameters of the popularly used double Gaussian approximation of the proximity function. The results are shown to be accurate for gate lengths in the range 0.1–0.5 μm. Using this technique for gate delineation, it is shown that very high performance GaAs MESFET devices and circuits can be achieved at sub‐0.5‐μm dimensions.

8 citations


Proceedings ArticleDOI
01 Jan 1988
TL;DR: In this article, a simple model of a resist layer deposited onto a non-reflecting substrate was investigated and the dependence on coherence of the light, on resist thickness, and on the degree of focus were also investigated.
Abstract: The question as to how accurately small object features can be reproduced in optical microlithography does not have a simple answer. It depends not only on the dimensions of the feature, but also on whether it is a line or a space, whether there are other features nearby (the proximity effect), on the resist thickness, and on whether the features are to have the dimensions of the ideal optical image or are "biased". This paper explores these topics by modeling the imaging, exposure, and development steps. In order to discover the significant dependencies we first investigate the simple model of a resist layer deposited onto a non-reflecting substrate. It shows that an isolated resist line has approximately the correct dimensions in all sizes when the imaging is done with partially coherent light. The isolated spaces, however, show deviations from the design size, which are caused by diffraction effects. For spaces (0.6 - 1.0) λ/NA wide the light intensity in the center of the space is larger than the intensity of the incident light causing the resist to develop through faster than in a very large area For spaces smaller than 0.6 λ/NA the light intensity in the image drops rapidly and the spaces can no longer be reproduced. The dependence on coherence of the light, on resist thickness, and on the degree of focus are also investigated. On real sur-faces of silicon, Si02, and aluminum reflections cause interference effects and dramatic variations in exposure with resist thickness. After these effects are taken into account, the resolution is much poorer (0.9 λ/NA in the case of silicon, worse for aluminum). Biasing of the masks does not improve the resolution capability. On the other hand, a post-bake of the re-sist pattern can cause a dramatic improvement of the imaging quality and can increase the resolution to where it is comparable to that obtained on the non-reflective substrate.

Journal ArticleDOI
TL;DR: In this article, the electron energy dissipation distribution at the lowest plane in the resist is considered and an ideal proximity exposure compensation is obtained when the dose correction factors are obtained by considering the electron dissipation at the lower plane of the resist.
Abstract: Ideal proximity exposure compensation is shown to occur when the dose correction factors are obtained by considering the electron energy dissipation distribution at the lowest plane in the resist. In such an ideal situation, the simulation of resist profiles in line patterns shows that the resist edge slope becomes nearly equal to what can be obtained with a single beam line.

Journal ArticleDOI
TL;DR: In this article, the magnitude of the proximity effect for submicron electron beam lithography has been experimentally quantified using an electrical proximity effect tester (PET), which was delineated in MP 2400-17 resist in a trilevel stack on aluminum, using an AT&T electron beam exposure system.
Abstract: The magnitude of proximity effect for submicron electron beam lithography has been experimentally quantified using an electrical proximity effect tester (PET). The PET was delineated in MP 2400‐17 resist in a trilevel stack on aluminum, using an AT&T electron beam exposure system. At 50 kV the proximity exposure on a 0.5‐μm coded feature under the extreme layout conditions in the PET resulted in linewidth variation >0.25 μm. The effective range of the backscattered electrons was measured to be >10 μm. The GHOST proximity correction technique was used to reduce the magnitude of the linewidth variation. Using a 19‐μm defocused beam and a correction dose of 30% of the incident, the magnitude of the linewidth deviation on a 0.5‐μm feature was reduced to ±0.05 μm. However, the smallest feature that could be successfully GHOSTed was 0.375 μm at 50 kV. This was attributed to uncorrected electron scatter associated with the forward traveling electrons. Resolution of finer geometries was unpredictable. At 20 kV the resolution limit for successful proximity correction with GHOSTing was 0.5 μm. In conjunction with the experimental results, a computer model was used to confirm the limitations of the GHOSTing technique, as well as to establish the tolerances on the GHOST parameters, correction dose, and defocused beam size. At 50 kV the correction dose can vary from 27% to 33%, and the defocused beam diameter can vary from 15 to 26 μm with only a ±0.05‐μm linewidth variation on a 0.5‐μm feature.

Patent
25 Nov 1988
TL;DR: In this article, a conductivity thin film is vapor-deposited in 500Angstrom on a resist and voltage is applied between it and a substrate in such a way that the potential on the side of the substrate becomes higher using the power source used for the substrate.
Abstract: PURPOSE:To form an excellent microscopic pattern on which the proximity effect of charged beam is reduced by a method wherein potential is applied in the direction in which the charged particle beam is accelerated on substrate side between the conductivity thin film on resist and the conductivity substrate or the conductivity film deposited on the surface of the substrate, and the charged particle beam is made to irradiate. CONSTITUTION:A conductivity thin film 13 is vapor-deposited in 500Angstrom on a resist 12, and voltage is applied between the conductivity thin film 13 and a substrate 11 in such a manner that the potential on the side of the substrate 11 becomes higher using the power source 14 to be used for the substrate. At this time, the potential of the conductivity thin film 13 is made equal to that of the anode 17 which is the outlet of an electron gun 16 so that the electric field is applied to the inside only of the resist 12. Negative high potential is given to a filament 19 by an accelerated power source 18, and the filament 19 is heated up by applying a current thereon from a heating power source 20. The potential difference between the filament 19 and the anode 17 is accelerated by the emission of thermions, and at the same time, bias potential is given to a Wehnelt 21, it is radiated as an electron beam 15, bent in the desired direction by a deflection coil 22, focussed by an objective lens 23 and projected on a sample 24.

Journal ArticleDOI
TL;DR: In this paper, the authors examined the application of transform techniques to the problem of compensating for the proximity effect in electron-beam lithography and found that the transform method can compensate for asymmetric astigmatism.
Abstract: This paper examines the application of transform techniques to the problem of compensating for the proximity effect in electron‐beam lithography. The patterns examined contain features as small as 0.20 μm. The transform methods employed here have been discussed in earlier papers. However, to date the amount of published experimental evidence documenting the degree of success of the method has been very limited. Therefore, one of the objectives of this paper is to examine thoroughly a set of four patterns containing a number of challenging features which will not develop correctly without some form of compensation. Since very little work on 0.20‐μm proximity correction is currently available for comparison, we have attempted to simulate the GHOST method for these same four patterns. The GHOST method is a successful and widely accepted technique for computationless compensation of electron backscattering. It employs a defocused complementary pattern exposure to compensate for this electron backscatter. Thus we can anticipate that it will not adjust for the distortions due to forward scattering, for example, which can affect features in the 0.20‐μm range. In addition to forward scattering, we observe that the transform method can compensate for asymmetric astigmatism. We have found asymmetric distortion to be a problem when attempting to write features in the range of 0.20 μm on some commercially available machines. Therefore, the method may have special significance for such machines.

Patent
16 Dec 1988
TL;DR: In this paper, the line width of a resist picture image is measured and the difference of line width between the central part and the peripheral part is less than or equal to 0.02mum.
Abstract: PURPOSE:To obtain an electron beam lithography method capable of reducing proximity effect, by using a calibrated pattern at the time of writing inverting black and white, said pattern being subjected to a dimensional calibration so as to become larger by 2 address units of the same address unit as a pattern configuration, and enlarging beam diameter by 3 address units or more. CONSTITUTION:In a raster scan type electron beam lithography equipment, a picture configuration pattern is written with writting address unit of 0.25mum, beam diameter of 0.25mum, and current value of 255nA. After that immediately, the inverse pattern of a calibrated pattern is overlapped with address unit of 0.25mum, beam diameter of 0.75mum, and current value of 72nA, and calibration writing is performed. After developing with a specific developer, the line width of a resist picture image is measured. The following result is obtained; in a region (a) which is most liable to be affected by proximity effect, the difference of line width between the central part and the peripheral part is less than or equal to 0.02mum. In the case where the space width is changed in the range of 0.5mum-4.0mum, the line width difference shows a value less than or equal to 0.01mum. Thereby, the pattern formation of high quality and high accuracy is enabled.

Journal ArticleDOI
TL;DR: In this article, the resist profiles in electron beam exposed PMMA have been simulated before and after proximity exposure compensation (correction) for isolated and closely spaced line patterns, and the edge slopes of the resist profile obtained in the two cases (before and after exposure compensation) indicate the effectiveness of the compensation technique.

Proceedings ArticleDOI
14 Jun 1988
TL;DR: In this paper, the deposited energy density profile by a double gaussian Exposure Intensity Distribution (EID ) function was evaluated and the theoretical and experimental results showed that in a 2.2 micron thick trilayer planerizing resist system, both 0.5 micron isolated line and isolated space were simultaneously resolved in half micron top layer resist.
Abstract: Direct write electron beam (EB) lithography is expected to write a very fine wafer pattern below half micron for the development of the comming generation ULSIs. But direct write EB lithography has two main peculiar problems for obtaining such a very fine resist pattern on an uneven topography of a processed wafer. One is a pattern dimension deviation from the designed value due to resist topography and proximity effects. The other problem is pattern registration deviation due to charge-up in the EB-resist. In order to investigate the proximity effect. we evaluated the deposited energy density profile by a double gaussian Exposure Intensity Distribution ( EID ) function. The theoretical and experimental results showed that in a 2.2 micron thick trilayer planerizing resist system. both 0.5 micron isolated line and isolated space were simultaneously resolved in half micron thick top layer resist. To compensate the charge-up problem, we treated the bottom-layer by a brand-new ion shower material modification process. A 40 KV proton shower irradiation decreased the resistance of the bottom layer. The charge of the electron beam was dissipated through the bottom layer resist. The resultant half micron rule 16 M-bit DRAM patterns were compared with the optically exposed tri-level resist patterns. The optically exposed patterns also had an optical proximity effect and half micron patterns were not resolved even adopting the contrast enhancement lithographic ( CEL ) technology. On the other hand, we successfully obtained 16M-bit DRAM patterns on the uneven topography of the processed wafer using EB direct write.

Patent
24 May 1988
TL;DR: In this article, the authors proposed a method to improve a through put as well as to sharply reduce the amount of a proximity effect by a method wherein the prescribed amount of ultraviolet rays or far ultraviolet rays and the like is made to irradiate uniformly before or after the irradiation of a charged particle beam.
Abstract: PURPOSE:To improve a through put as well as to sharply reduce the amount of a proximity effect by a method wherein the prescribed amount of ultraviolet rays or far ultraviolet rays and the like is made to irradiate uniformly before or after the irradiation of a charged particle beam. CONSTITUTION:When the patterning of a prescribed pattern is going to be conducted by performing the exposure of the charged particle beam B such as an electron beam, an ion beam and the like after a resist 2 has been coated on a sample 1, the prescribed dosage of ultraviolet rays or far ultraviolet rays H is uniformly made to irradiate on the resist 2 before or after the irradiation of the charged particle beam B. Then, the irradiation dose IC and the irradiation dose Iuv of the ultraviolet rays or the far ultraviolet rays H are set at the threshold dose Th or less which is necessary for the exposure to light of the resist 2 in such a manner that the total Ie+Iuv of both irradiation doses exceeds the threshold dose Th. Consequently, the sensitivity to the beam B of the resist 2 can be improved substantially, and as a result, the period of exposure of the beam B can be cut down, and the extent of proximity effect can also be reduced.

Patent
30 Apr 1988
TL;DR: In this article, a reactive layer is formed by treatment using a suitable method such as burning, and the surface of the reactive layer 10 is scanned so as to cross the surface with an E beam lithography.
Abstract: PURPOSE:To compensate for a proximity effect, by irradiating each part of a reactive layer, which is formed by drying a reactive material that is attached to a substrate, with an E beam, and thereafter burning the reactive layer. CONSTITUTION:A liquid reactive material is poured on an upper surface 12 of a substrate 14. Then a reactive layer 10 is formed by treatment using a suitable method such as burning. The surface of the reactive layer 10 is scanned so as to cross the surface with an E beam lithography. Irradiated parts 22a-22e have different widths by back scattered electrons even if energies projected from E beams 16a-16e are at the same energy level. After the irradiation, burning is performed. Then, the irradiated parts 22a-22e have the approximately same width of, and non-irradiated parts 24-34 have the approximately the same width Sf. This is because molecules are moved from the non-irradiated parts 24-34 to the irradiated parts 22a-22e caused by the burning after the irradiation.