scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 1992"


Journal ArticleDOI
TL;DR: In this article, an array of these minicolumns in parallel in a multibeam mode with one or more columns per chip is discussed. And the throughout advantages for such an arrayed system based on different beam forming optics and pattern generation approaches are discussed.
Abstract: In recent years, considerable progress has been made on an approach based on a novel concept which combines scanning tunneling microscope, microfabricated lenses, and field emission technologies to achieve microminiaturized low‐voltage electron beam columns with performance surpassing the conventional column. High throughput lithography is a potentially very important application for these microfabricated columns which measure only millimeters in dimensions. This is to be achieved using an array of these minicolumns in parallel in a multibeam mode with one or more columns per chip. The low‐voltage operation is attractive because proximity effect corrections may not need to be applied. In addition, an arrayed microcolumn system also has the potential of reducing the cost of the overall system through the compaction of the mechanical system. The throughout advantages for such an arrayed system based on different beam forming optics and pattern generation approaches will be discussed. In addition to lithography, a wide range of other applications for such an arrayed system such as testing, metrology, storage, etc., can also be considered.

95 citations


Journal ArticleDOI
TL;DR: The use of lowvoltage electron beam lithography to reduce proximity effects, improve throughput, and reduce substrate damage caused to underlying materials has been investigated in this paper, where various films of PMMA were exposed with a field emission scanning electron microscope adapted with blanking capability and a 16 bit resolution beam control package.
Abstract: The use of low‐voltage electron beam lithography to reduce proximity effects, improve throughput, and reduce substrate damage caused to underlying materials has been investigated. Various films of PMMA were exposed with a field emission scanning electron microscope adapted with blanking capability and a 16 bit resolution beam control package. The exposure voltages used were from 1 to 15 keV with probe sizes of ≤150 to ≤70 A, respectively. The dose latitude or working dose range was determined for each voltage on film thicknesses of 0.05, 0.18, and 0.38 μm poly(methylmethacrylate). Optimum beam voltage for a particular thickness which maximizes the energy deposited within the resist has been approximated using Monte Carlo modeling and verified experimentally. Atomic force microscopy showed that at lower voltages the dose required to properly expose a feature is relatively low and as beam voltage increases, the dose required to expose a given area increases. This data also verifies the fact that if the expo...

36 citations


Journal ArticleDOI
TL;DR: In this paper, complex computer generated phase holograms (CGPHs) have been fabricated in polymethyl methacrylate (PMMA) by partial exposure and subsequent partial development.
Abstract: Complex computer generated phase holograms (CGPHs) have been fabricated in polymethyl methacrylate (PMMA) by partial exposure and subsequent partial development. The CGPH was encoded as a sequence of phase delay pixels and written by e‐beam (JEOL JBX‐5DII), a different dose being assigned to each value of phase delay. Following carefully controlled, partial development, the pattern appears, rendered in relief, in the PMMA which then acts as the phase‐delay medium. The exposure dose was in the range 20–200 μC/cm2, and very aggressive development in pure acetone led to low contrast. This enabled etch depth control to better than ±20 nm corresponding to an optical phase shift in transmission, relative to air, of ±λvis/60. That result was obtained by exposing isolated 50 μm square patches and measuring resist removal over the central area where the proximity effect dose was uniform and related only to the local exposure. For complex CGPHs with pixel size of the order of the proximity radius, the patterns must be corrected for proximity effects. In addition, the isotropic nature of the development process will produce sidewall etching effects. The devices fabricated were designed with 16 equal phase steps per retardation cycle, were up to 3 mm square, and consisted of up to 10 million 0.3–2.0 μm square pixels. Data files were up to 60 Mb long and exposure times ranged to several hours. No sidewall etch corrections were applied to the pattern and proximity effects were only treated approximately. A Fresnel phase lens was fabricated that had diffraction limited optical performance with 83% efficiency.

34 citations


Journal ArticleDOI
TL;DR: In this paper, a 25 kV thermal field emission EBL machine was modified to run in a retarding field configuration where the sample is biased at a large negative potential, thus reducing the final beam landing energy.
Abstract: Resist exposure characteristics have been studied over a voltage range from 1 to 10 kV in order to demonstrate the feasibility of using low voltage electron beam lithography (EBL) to pattern submicron features without the proximity effect problems seen at higher voltages. The exposures were done by modifying a 25 kV thermal field emission EBL machine to run in a retarding field configuration where the sample is biased at a large negative potential, thus reducing the final beam landing energy. After analyzing the linewidths of various proximity test patterns with features as small as 0.15 μm, we conclude that at these dimensions the proximity effect on silicon substrates, compared to 10 kV, is greatly reduced at 5 kV and almost negligible at 3 kV. In order to maintain resolution at these low voltages, it is normally necessary to reduce the beam current due to increased aberrations and reduced source brightness. However, calculations and experiments show that these problems are much less severe in the retar...

31 citations


Patent
06 Apr 1992
TL;DR: In this paper, a method for compensation for the proximity effect in electron beam lithography on an e-beam resist material is proposed, where the exposed surface is subdivided into nonoverlapping pixels of approximately equal area.
Abstract: A method for compensation for the proximity effect in electron beam lithography on an e-beam resist material. The exposed surface of the resist material is subdivided into non-overlapping pixels of approximately equal area, with a first set of pixels representing a selected pattern for e-beam lithography and a second set of pixels including all other pixels. The cumulative exposure for each pixel in the first set is computed by adding to the direct beam exposure of that pixel the contributions of spillover (backscattering) exposure arising from exposure of nearby pixels in the second set. The cumulative exposure for each pixel in the second set is computed by adding to the reduced beam exposure of that pixel the contributions of spillover exposure arising from exposure of nearby pixels in the second set. The resist material is then irradiated, pixel-by-pixel with a fixed electron beam radius, with the exposure at each pixel being equal to the cumulative exposure computed for that pixel.

23 citations


Patent
06 Aug 1992
TL;DR: In this paper, a method for writing a pattern on an object by a charged particle beam comprises the steps of dividing a pattern to be written on the object into a plurality of pattern blocks that cause a proximity effect with each other.
Abstract: A method for writing a pattern on an object by a charged particle beam comprises the steps of: dividing a pattern to be written on the object into a plurality of pattern blocks that cause a proximity effect with each other; determining a pattern density for each of said pattern blocks; selecting a specific pattern block as a reference pattern block; setting a dose level of exposure of the charged particle beam to a reference dose level such that the reference pattern block is exposed with a predetermined total dose level which includes the contribution of the exposure by the charged particle beam and the contribution of the exposure by the backscattered charged particles; exposing the plurality of pattern blocks including the reference pattern block by the charged particle beam with the reference dose level; and exposing those pattern blocks that have the pattern density smaller than the pattern density of the reference pattern block by a defocused charged particle beam with a total dose level set such that the total dose level for those pattern blocks is substantially identical with the total dose level of the reference pattern block.

20 citations


Patent
Fumio Murai1, Shinji Okazaki1, Haruo Yoda1, Shibata Yukinobu1, Akira Tsukizoe1 
27 Mar 1992
TL;DR: In this article, the number of repeated unit patterns included in an aperture plate with an aperture including an array of unit patterns and an ordinary aperture of a rectangular shape is determined by considering the repeated unit pattern array to be delineated.
Abstract: An electron beam lithography apparatus is disclosed which has an aperture plate provided with an aperture including an array of repeated unit patterns and an ordinary aperture of a rectangular shape. A region free of the influence of a proximity effect is delineated using the former aperture, and a region affected by the proximity effect is delineated using the latter aperture. The number of repeated unit patterns included in the former aperture is determined considering the number of repeated unit patterns included in a pattern array to be delineated on a substrate. Thereby, the number of electron beam shots is reduced. A plurality of apertures having slightly different aperture widths may be provided for always keeping a pattern line width constant.

19 citations


Journal ArticleDOI
TL;DR: In this article, the authors designed and built a new 100 kV column for a vector scan electron beam lithography (EBL) machine for the purpose of writing high-resolution, high-precision x-ray masks.
Abstract: High‐voltage (≥50 kV) electron beam lithography (EBL) is the preferred technique for fabrication of additive‐process x‐ray masks, because the high‐voltage minimizes scattering in the resist and membrane, resulting in better resolution, straighter sidewalls, and reduced proximity effect. We have designed and built a new 100 kV column for a vector scan EBL machine for the purpose of writing high‐resolution, high‐precision x‐ray masks in order to explore the technological and fundamental limits of x‐ray lithography. The column features a 100 kV thermal field emission gun with an electrostatic condenser lens, conjugate blanking, and a liquid‐cooled magnetic final lens with high‐precision double magnetic deflection. The two‐lens optics provides a beam diameter of 30 nm at a current of 5 nA, sufficient to expose moderately sensitive resists at pixel rates approaching the maximum deflection speed of 10 MHz. Results obtained include proximity corrected, complex patterns in thin resist with feature sizes down to 50 nm. Comparisons of proximity effects, exposure parameters, and actual resist profiles, show that 100 kV is clearly superior to 50 kV and even 75 kV for feature sizes below 0.25 μm in thick (0.75 μm) resist. Excellent linewidth control has been obtained on plated gold x‐ray masks with feature sizes as small as 75 nm. Problems of patterning nanometer features with aspect ratios as high as 10:1, which include forward scattering, development effects, and plating effects, are discussed.

15 citations


Patent
03 Jul 1992
TL;DR: In this paper, the authors proposed to reduce the number of shots required for lithography and to prevent a decrease in a throughput by using bulges of a pattern generated by a proximity effect.
Abstract: PURPOSE:To reduce the number of shots required for lithography and to prevent a.decrease in a throughput by multiple exposing rectangles having different aspect ratios to be inscribed with an oblique part of an oblique figure, and resolving the oblique part by utilizing bulges of a pattern generated by a proximity effect. CONSTITUTION:When wide figures 2-7 are formed in order to reduce the number of shots while suppressing deterioration of resolution of an oblique part of an oblique figure, exposure amounts are always increased as compared with stepwise parts on superposed parts of the two figures on shaded parts. Then, bulges are generated in the stepwise figures by an influence from the part. This is caused by a proximity effect of an electron beam. If a generally oblique figure is resolved by utilizing a deformation by the proximity effect, the number of shots is decreased. Thus, even when the oblique figure is formed, the number of the shots can be reduced, and a decrease in a throughput can be prevented.

15 citations


Journal ArticleDOI
TL;DR: In this article, a 3D electron-beam (EB) lithography simulator SEED•3D was developed for resist profile simulation and analysis of proximity effects, which can take into account proximity effects in a wider region, and it takes a short time to calculate the 3D accumulated energy distribution.
Abstract: A three‐dimensional (3D) electron‐beam (EB) lithography simulator SEED‐3D (system for evaluating electron‐beam writing and developing 3D) has been developed for resist profile simulation and analysis of proximity effects. SEED calculates an exposure intensity distribution (EID), a 3D accumulated energy distribution after pattern exposure, and a 3D profile of the developed resist. SEED can take into account proximity effects in a wider region, because SEED takes a short time to calculate the 3D accumulated energy distribution. The concept of the 3D accumulated energy calculation is that the proximity effects in the forward scattering region are estimated precisely, and the proximity effects in the backscattering region are evalulated roughly. This 3D EB lithography simulator allows us to examine resist‐pattern profiles of 0.3‐μm line and space patterns. This simulator also gives an acceptable value for η which can be used for dose correction.

12 citations


Journal ArticleDOI
TL;DR: A proximity effect correction system has been developed by utilizing an efficient dose modulation technique based on a double Gaussian proximity function and a '‘simple cell unit algorithm’’ that one of identical cells is proximity‐corrected, and the result is used to the other remaining cells is introduced.
Abstract: A proximity effect correction system has been developed by utilizing an efficient dose modulation technique based on a double Gaussian proximity function. A shaped electron beam system is assumed to be used. Two improvements are made. First, an optimal exposure dose on each pattern is determined by a new fast iterative method. The optimal dose makes the development isocontour conform to the pattern specification fairly well. Second, a ‘‘simple cell unit algorithm’’ that one of identical cells is proximity‐corrected, and the result is used to the other remaining cells is introduced. This offers to both decrease the processing time and save the memory/disk space. The present system is applied to the data processing of scaled‐down version of an aluminum wiring layer pattern of 16 Mbit dynamic random access memory with its minimum dimension of 0.4 μm. The calculation is successfully completed within 1 h of CPU time on a 10 MIPS general‐purpose computer. The dimensional accuracy of 10% is confirmed experimenta...

Journal ArticleDOI
TL;DR: In this paper, the authors used a 50 keV Ga+ beam with an 8 nm spot diameter for subtractive patterning of high atomic mass (high-Z) materials such as tungsten or gold.
Abstract: In order to fabricate masks for x‐ray lithography, there is growing interest in subtractive patterning of high atomic mass (high‐Z) materials such as tungsten or gold. Favorable writing speeds and sub‐50 nm resolution without proximity effects combine to make heavy ion focused ion beam lithography an ideal candidate for this area of nanofabrication. Using a 50 keV Ga+ beam with an 8 nm spot diameter, we have exposed a variety of proximity effect test patterns in 60 nm thick PMMA on 0.5 μm thick tungsten films. The results indicate that 30‐nm resolution or better is possible at line/space pitches as small as 80 nm. The test patterns show no apparent proximity effects at these dimensions. An anomalous ‘‘inverse proximity effect’’ was observed, and was determined to be an artifact of the scanning electron microscope technique used to observe the PMMA resist.

Patent
29 Oct 1992
TL;DR: In this article, the authors proposed a method for correcting the proximity effect in an electron beam lithography system, where each design profile was demagnified by a predetermined bias and the dose of electron beam required at an arbitrary point of a design pattern was determined such that the design profile is magnified by the predetermined bias in development.
Abstract: PURPOSE: To provide a method for correcting the proximity effect in an electron beam lithography system. CONSTITUTION: Each design profile is demagnified by a predetermined bias and the dose of electron beam required at an arbitrary point of a design pattern is determined such that the design profile is magnified by a predetermined bias in development. The dose of electron beam is determined according to a predetermined relationship between an indicator, e.g. back scattering of electron, and required dose of electron beam. The indicator is determined for a plurality of points arranged in a rough grid of design pattern and indicates the extent of proximity effect at individual point. Required dose is determined by solving an integral equation for associating the indicator to distribution of dose of electron beam for each of a plurality of points of design pattern.

Journal ArticleDOI
TL;DR: In this paper, Aristov and Zaitsev calculated the proximity correction for backscattered electrons by the method of simple compensation and simulated the proximity effect after development with the before corrected dose distribution, but now considering all parameters: α, β, η, thickness H and contrast γ of positive resist.
Abstract: The established methods after Parikh [M. Parikh, IBM J. Res. Dev. 24, 438 (1980)] allow a dose correction using the ‘‘Two Gaussian Model’’ by considering the parameters α, β, and η. A guaranteed accuracy after development cannot be given for these methods because the development process, depending on resist type, thickness and contrast, is not taken into account. In order to calculate a final guaranteed accuracy considering α, β, η, and the full resist development process, we did a calculation in following steps. First, we calculated the proximity correction just for backscattered electrons by the method of ‘‘simple compensation’’ [V. V. Aristov, A. A. Svintsov, and S. I. Zaitsev, Microelectron. Eng. 11, 641 (1989)]. In the second step, we simulated the proximity effect after development (modeling) with the before corrected dose distribution, but now considering all parameters: α, β, η, thickness H, and contrast γ of positive resist. This leads to a guaranteed accuracy δ (maximum structure deviation) for a given design rule L using the correction method of simple compensation. This guaranteed accuracy can be expressed in dimensionless coordinates δ/α=f(L/α,H/α,η,γ). So the accuracy of the electron lithography in this approach is determined by the beam size, characterized by α. Simple compensation results in the accuracy equal to a fraction of α. A better proximity correction below the guaranteed accuracy is possible by using simple compensation in iteration and by correcting for α inside a small structure frame.

Journal ArticleDOI
TL;DR: In this paper, the authors showed that a thin silicon nitride layer (50-300 nm), deposited on a semiconductor substrate, prior to resist deposition, greatly enhances the resist resolution during electron beam lithography.
Abstract: A thin silicon nitride layer (50–300 nm), deposited on a semiconductor substrate, prior to resist deposition, greatly enhances the resist resolution during electron beam lithography. The resolution enhancement was manifested by smaller feature sizes, for a given dose and full resolution of individual array elements at higher doses than on a bare semiconductor substrate. The effect has been observed in 50–100 nm of both SAL‐601 and PMMA spun onto silicon nitride coated Si and GaAs substrates. The samples were patterned with a 50 keV, 15 nm diam probe generated by a JEOL JBX‐5DII system. Improved resolution was found on two types of silicon nitride film grown by chemical vapor deposition: one deposited at 800 °C on Si and the other deposited at 200 °C on GaAs. Linewidth reductions in SAL‐601 of 40% at low doses and an order of magnitude at high doses were observed on silicon nitride coatings of 50–300 nm thickness. In PMMA, the resolution enhancement was less than in SAL‐601, with only a 15% linewidth reduc...

Journal ArticleDOI
TL;DR: In this article, a novel method for reducing the proximity effect in high-resolution electron beam patterning of high atomic number materials such as tungsten was proposed, which involves interposing a thin (50-400 nm) layer of SiO2 between the resist and the underlying high-Z substrate.
Abstract: A novel method is reported for reducing the proximity effect in high‐resolution electron beam patterning of high atomic number materials such as tungsten. The method involves interposing a thin (50–400 nm) layer of SiO2 between the resist and the underlying high‐Z substrate. Examples are shown in which gratings of 0.2 μm lines with a 0.5 μm period were written without proximity effect compensation. Optimal intermediate layer thickness for the best resolution of the gratings is determined to be 200 nm. A Monte Carlo model of electron scattering including inelastic processes has been implemented to interpret our experimental results. The model presented shows that having the low atomic number SiO2 layer between the resist and the tungsten prevents the fast secondary electrons being generated at the surface of the tungsten from propagating back into the resist, suggesting a mechanism for proximity effect reduction. The results presented here have important practical applications for x‐ray mask making.

Patent
17 Sep 1992
TL;DR: In this article, the area near a chip is corrected with respect to its proximity effect and a fine pattern is yielded through electron beam batch drawing to improve the uniform size of an entire chip by exposing a chip circumference to light through an opening pattern which is previously corrected to a pattern shape to correct uneven exposure at the chip circumference.
Abstract: PURPOSE:To improve the uniform size of an entire chip by exposing a chip circumference to light through an opening pattern which is previously corrected to a pattern shape to correct uneven exposure at the chip circumference. CONSTITUTION:The area near a chip is corrected with respect to its proximity effect and a fine pattern is yielded through electron beam batch drawing. For example, the widths of opening parts increase from the opening pattern 100 at the center to those at surroundings. Hereby, lack of the amount of exposure at a pattern circumferential region due to the proximity effect is corrected and the line width of the pattern is prevented from being narrowed. More specifically, when left and right sides of the chip, upper and lower sides of the same and four corners of the same are exposed, patterns corrected individually separately are employed.


Journal ArticleDOI
TL;DR: In this article, a theoretical and experimental investigation into the formation of resist debris due to proximity exposure compensation (PEC) in electron beam lithography is provided, and the two dimensional simulation of resist contours in closely spaced rectangular patterns shows that the unsupported resist fragments originate at the top of the resist when a PEC scheme based on dose variation method is used to compensate for the proximity exposure effects within and between the patterns.
Abstract: A theoretical and experimental investigation into the formation of resist debris due to proximity exposure compensation (PEC) in electron beam lithography is provided. The two dimensional simulation of resist contours in closely spaced rectangular patterns shows that the unsupported resist fragments (debris) originate at the top of the resist when a PEC scheme based on dose variation method is used to compensate for the proximity exposure effects within and between the patterns. It is found that by taking some precautions during electron exposure and development process, the adverse effects of resist fragments can be eliminated.

Journal ArticleDOI
TL;DR: In this paper, the point exposure distribution function (PEDF) for electron beam lithography on Ti/Pt/Au has been measured for 50 keV and 100 keV electrons.

Journal ArticleDOI
H. Hübner1
TL;DR: In this article, a numerical algorithm is proposed that automatically compensates the proximity effect for any given structure and thus extends the applicability of direct-write electron-beam lithography into the sub-100 nm regime even for IC requirements.

Journal ArticleDOI
TL;DR: In this article, an experimental study of the resolution and optimal exposure time on the resist thickness in electron-beam lithography is presented, where a method is developed to measure the proximity effect parameters with the use of ultra-small radiation sensitive devices formed in the resist layer.

Patent
27 Apr 1992
TL;DR: In this paper, a punched-out test pattern in a resist pattern 17 subjected to lithography in the above manner is observed from the rectangular pattern part 14 side, and the resist pattern section 15 is exposed to a substrate surface 16 and inspected.
Abstract: PURPOSE:To precisely inspect the sectional shape of a resist pattern, without breaking a substrate, by a method wherein a punched-out test pattern after lithography is inclined, and, in this state, the section of a resist pattern is exposed and inspected. CONSTITUTION:A convex type punched-out test pattern composed of a pattern part 13 for managing dimension and a rectangular pattern part 14 whose width and depth in contact with the end portion of the pattern part 13 are, e.g. 10mum, in which test pattern proximity effect to an electron beam is taken into account is subjected to lithography irradiation of a resist pattern by an ordinary substrate resist forming process. In the state that the punched-out test pattern in a resist pattern 17 subjected to lithography in the above manner is inclined, the pattern part 13 for managing dimension is observed from the rectangular pattern part 14 side, and a resist pattern section 15 is exposed to a substrate surface 16 and inspected. Thereby the sectional shape of the resist pattern can be highly precisely inspected without breaking the substrate.

Proceedings ArticleDOI
09 Jul 1992
TL;DR: In this paper, the deconvolution of the point spread function in the pattern domain is proposed to solve the problem of the resulting correction is not exact, and the computation time is excessively long.
Abstract: Dose correction is commonly used to compensate for the proximity effect in electron lithography. The computation of the required dose modulation is usually carried out using 'self-consistent' algorithms that work by solving a large number of simultaneous linear equations. However, there are two major drawbacks: the resulting correction is not exact, and the computation time is excessively long. A computational scheme, as shown in Figure 1, has been devised to eliminate this problem by the deconvolution of the point spread function in the pattern domain. The method is iterative, based on a steepest descent algorithm. The scheme has been successfully tested on a simple pattern with a minimum feature size 0.5 micrometers , exposed on a MEBES tool at 10 KeV in 0.2 micrometers of PMMA resist on a silicon substrate.

Book ChapterDOI
01 Jan 1992
TL;DR: In this paper, the problem of electron lithography is to expose a pattern and remove (by etching) the exposed portion of the polymer resist film (the unexposed part of the resist is removed when negative resists are processed).
Abstract: Just as in optical lithography, the task of electron lithography is to expose a pattern and remove (by etching) the exposed portion of the polymer resist film (the unexposed portion of the resist is removed when negative resists are processed). The result from exposing a positive resist is that the molecular linear chain is broken and the average molecular weight of the polymer in the exposed area is reduced. Reducing the molecular weight of the polymer is accompanied by an increase in the solubility (etching rate). Thus, the principal result from exposure is a pattern drawn in terms of the etching rate. It is desired that the contrast in the pattern, i.e., the ratio of the etching rate in the exposed region to the etching rate in the unexposed region be as large as possible. The contrast is largely dependent upon the etching method used; high when liquid (solvent) etching is used and lower when the etching is done in more active media in a plasma or beam of atoms and ions.(1–6)

Patent
29 Jan 1992
TL;DR: In this article, the authors proposed to improve the dimensional accuracy of a resist pattern even in the case that the projecting and recessed parts of the surface of a substrate are large by dividing a resist film into plural specified areas, correcting a proximity effect corresponding to the layer thickness of of each area and performing exposure.
Abstract: PURPOSE:To improve the dimensional accuracy of a resist pattern even in the case that the projecting and recessed parts of the surface of a substrate are large by dividing a resist film into plural specified areas, correcting a proximity effect corresponding to the layer thickness of of each area and performing exposure. CONSTITUTION:When an electrode wiring is formed on the substrate having the projecting and recessed parts, an Al film 6 and the resist film 7 are formed in order first. Next, after calculating the film thickness T of the flat area of the film 7 and the film thickness (t) on a recessed part, the effect of forward scattering and back scattering corresponding to the film thickness is obtained by using double gauss intensity distribution and the correction amount of the proximity effect corresponding to the film thickness T and (t) is calculated. Then, a pattern area of a corrected size is irradiated with an electron beam so as to be developed, and the film 6 is etched by setting the obtained resist pattern as a mask.

Patent
30 Nov 1992
TL;DR: In this paper, the authors proposed a method to obtain a resist film-exposing method with which a highly precise resist pattern can be formed and also the exposing method can be simplified and the exposing operation can be expedited by a method wherein the resist pattern is not subjected to the in-pattern proximity effect and the inter-parallel proximity effect.
Abstract: PURPOSE:To obtain a resist film-exposing method with which a highly precise resist pattern can be formed and also the exposing method can be simplified and the exposing operation can be expedited by a method wherein the resist pattern is not subjected to the in-pattern proximity effect and the interpattern proximity effect CONSTITUTION:A process in which a filter film 7, having a small absorption coefficient against ultraviolet rays, is formed on the resist film 6 of the material 5 to be patterned, and another process, in which the resist film 6 of an exposing regions 8a to 8c is exposed and also the filter film 7 of exposing regions 8a to 8c is converted to a filter film 7 having a large absorption coefficient against ultraviolet rays, are included in the title resist pattern forming method Also, a process in which ultraviolet rays are made to irradiate through the intermediary of the filter film 7 and the ultraviolet rays are absorbed by the resist film 6 of non-exposure region 9a to 9d, and another process, in which the resist film 6 is developed after the filter film 7 has been removed and resist patterns 6a to 6c are formed on the exposing regions 8a to 8c, are included in the title resist pattern forming method

Patent
10 Mar 1992
TL;DR: In this article, the image of a first rectangular aperture is drawn while it is being moved continuously and in a definite direction on an arbitrary pattern of a second aperture, and the energy stored inside a resist has a rightward rise tendency.
Abstract: PURPOSE:To form a pattern having no proximity effect by a method wherein the image of a first rectangular aperture is drawn while it is being moved continuously and in a definite direction on an arbitrary pattern of a second aperture. CONSTITUTION:Regarding a pattern 24, an aperture pattern is arranged by setting a margin, on the left side, by 130mum which is a little larger than 125mum projected by a first rectangular aperture. Regarding a pattern 23, a margin is set on the right side. When not a definite voltage value but a voltage shown by a line (b') is applied to an electrostatic deflector 4, a transmission beam of the first rectangular aperture 2 is moved at a definite speed to the left direction from a part directly above the pattern 24 of a second aperture 6. When a drawing operation is executed in this state, an energy storage amount displays a leftward rise distribution. On the other hand, when a voltage shown by a line (a') is applied to the electrostatic deflector 4 in the same manner, the beam 16 formed by the first rectangular aperture 2 is moved at a definite speed to the right direction from a part directly above the pattern 23 of the second aperture. The energy stored inside a resist has a rightward rise tendency. When a drawing operation is executed in this manner, resist patterns 20 are obtained as designed.

Patent
16 Dec 1992
TL;DR: In this article, a method for excluding influences of a proximity effect in electron beam exposure by means of combined use of photoexposure and to improve the precision of measuring a misalignment of a pattern formed in different layers.
Abstract: PURPOSE: To propose a method for excluding influences of a proximity effect in electron beam exposure by means of combined use of photoexposure and to improve the precision of measuring a misalignment of a pattern formed in different layers. CONSTITUTION: After electron beam exposure in the step of lithography by electron beam exposure 4, a part where a proximity effect develops in the step of corrected exposure of proximity effect 5 is subjected to photoexposure before at least development. A photomask in this case is prepared on the basis of graphic data used for electron beam exposure in the step of manufacturing a photomask for correcting proximity effect 3. In the step of corrected exposure of proximity effect 5, modulated light is used for exposure. A method for measuring a misalignment comprises determining a calibrated value from a measured value of a calibration pattern from both directions by 180° turn and adding a measured value of 0° of a measuring pattern to that value to determine a pattern misalignment. COPYRIGHT: (C)1994,JPO&Japio

Patent
02 Apr 1992
TL;DR: In this article, the second resist layer has a non-pattern section 24 which becomes a window to light having a wavelength region and pattern sections 21, 22, and 23 which become masks for cutting off light.
Abstract: PURPOSE:To obtain an equivalent effect to that obtained by the ghost exposing method and to correct the proximity effect and, at the same time, to improve the throughput by performing whole surface exposure with energy light having a wavelength region which is cut off by an opaque section and exposing the non-pattern section of the first resist layer through the second resist layer. CONSTITUTION:The first resist layer 11 is formed of a resist which is sensible to an energy beam 13 used for ordinary drawing and light. The second resist layer 12 is formed on the first resist layer 11. The second resist layer 12 has a non-pattern section 24 which becomes a window to light having a wavelength region and pattern sections 21, 22, and 23 which become masks for cutting off light. When whole surface exposure is made with energy light having a wavelength region, the non-pattern section 24 of the first resist layer 11 is exposed, resulting an equivalent effect to that obtained by the ghost exposure and proximity effect correction.