scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Photopolymer Science and Technology in 2014"


Journal ArticleDOI
TL;DR: The authors gratefully acknowledge SEMATECH for funding, as well as the CornellNanoscale Science and Technology Facility(CNF), Cornell Center of Materials Research(CCMR), the Nanobiotechnology Center (NBTC)and the KAUST-Cornell Center of Energy andSustainability (KAUST_CU) and LawrenceBerkeley National Lab (LBNL) for use of theirfacilities.
Abstract: The authors gratefully acknowledgeSEMATECH for funding, as well as the CornellNanoscale Science and Technology Facility(CNF), Cornell Center of Materials Research(CCMR), the Nanobiotechnology Center (NBTC)and the KAUST-Cornell Center of Energy andSustainability (KAUST_CU) and LawrenceBerkeley National Lab (LBNL) for use of theirfacilities

47 citations


Journal ArticleDOI
TL;DR: In this article, the authors proposed a method to solve the problem of electronic engineering at the University of Ehime in Japan, which is based on the same idea as the one proposed in this paper.
Abstract: *Department of Electrical and Electronic Engineering, Ehime University, 3 Bunkyo-cho, Matsuyama, Ehime 790-8577, Japan ** Pearl Kogyo Co. Ltd., 3-8-13 Minami-Kagaya, Suminoe-ku, Osaka 559-0015, Japan *** Department of Electrical and Electronic Engineering, Osaka Electro-Communication University 18-8 Hatsucho, Neyagawa, Osaka 572-8530 Japan **** Y’s Corporation, 2-3-3 Zoshigaya, Toshima-ku, Tokyo 171-0032, Japan

24 citations


Journal ArticleDOI
TL;DR: In this article, the spin-on organic and inorganic hard mask materials can be classified into two types, i.e., amorphous carbon and organo siloxane based materials with reflection control properties.
Abstract: Hard masks used in lithography processes play a vital role in pattern transfer to the desired substrate. Hard mask materials can be categorized into organic and inorganic types. Examples of organic type hard masks include amorphous carbon, organo siloxane based materials with reflection control properties. These organic hard masks are deposited either by CVD process or spin-on processes. SiN, SiON and TiN are some examples of inorganic type hard masks and typically these hard masks are deposited through CVD process. In either type, key requirement is etch resistance to either oxygen rich plasma or halogen rich plasma depending on the substrate to be etched away. However, in the advanced lithography processes, in addition to good etch resistance, they also need to possess good wet removability, fill capability in high aspect ratio contacts and trenches. In this paper, we discuss the advances made in the spin-on organic and inorganic hard masks. The spin-on option provides high throughput and several alternate material options compared to CVD option. Spin-on carbon (SOC) is a high carbon containing polymer solution and as a coating material, the polymers need to be soluble in organic solvent and insoluble after curing for coating upper layer materials. Recent progress made in good filling, low outgas, high thermal stability and planarization properties required for double and quadruple patterning is presented. Similarly, novel spin-on type inorganic formulations providing Ti, W, and Zr oxide hard masks with high etch selectivity, wet removal capability and good shelf-life stability are described. These novel AZ Spin-on MHM formulations can be used in several new applications and can potentially replace any metal, metal oxide, metal nitride or silicon-containing hard mask films currently deposited using CVD process in the semiconductor manufacturing process.

22 citations



Journal ArticleDOI
TL;DR: In this paper, a pulsed power generator can be used to generate a glow discharge with a pulse generator by controlling several parameters for the ignition of the discharge, which realizes the formation of a new reaction field at almost room temperature.
Abstract: Liquid-phase plasma is not well known compared with gas-phase plasma. We name the liquidphase plasma “solution plasma” because of the generation of different plasmas by choosing the combinations of solvents and solutes in solutions. We can generate a glow discharge with a pulsed power generator by controlling several parameters for the ignition of the discharge. It is important to generate the glow discharge in solutions, which realizes the formation of a new reaction field at almost room temperature. We measured the basic physical and chemical properties of solution plasma by time-resolved optical spectroscopy and coherent ant-Stokes Raman spectroscopy (CARS). The solution plasma is applicable to nanomaterials synthesis, surface modifications, water treatment, sterilization, decomposition of cellulose and toxic compounds, and so far.

19 citations


Journal ArticleDOI
TL;DR: In this paper, the authors summarized recent reported materials for extreme ultraviolet lithography (EUVL) are summarized ranging from conventional organic material base resists to novel inorganic material base resistors.
Abstract: Extreme ultraviolet lithography (EUVL) has been an attractive method as next generation lithography (NGL) over 20 years, and high-volume manufacturing (HVM) is now going to be realized by great progresses in materials as well as EUV source power enhancement. In this paper, recent reported materials for EUVL are summarized ranging from conventional organic material base resists to novel inorganic material base resists.

19 citations




Journal ArticleDOI
TL;DR: In this paper, the alicyclic dianhydride having cyclopentanone bis-spironorbornane structure (CpODA) was polycondensated with aromatic diamines at room temperature to give poly(amic acid)s having an inherent viscosity (inh) range of 1.3-0.54 dL/g.
Abstract: The alicyclic dianhydride having cyclopentanone bis-spironorbornane structure (CpODA) was polycondensated with aromatic diamines at room temperature to give poly(amic acid)s having an inherent viscosity (inh) range of 1.3-0.54 dL/g. The poly(amic acid)s were imidized by three methods. The imidization ratio of PI(CpODA+3,4’-DDE) reached 100% at 200 C using a combined chemical and thermal imidization method. All the polyimide films possessed excellent thermal stability and most of the polyimides had Tg’s over 330 C. The CTE value of thermally imidized PI(CpODA+4,4’-DABA) was as low as 15 ppm/K. The polyimide films exhibited cut-off’s shorter than 336 nm, and the Tvis value of each polyimide film was over 85%. Especially, PI(CpODA+3,4’-DDE) prepared by a combined chemical and thermal method had outstanding optical properties (Tvis:88 %, cut-off’s:278 nm) due to the low temperature film-fabrication.

14 citations



Journal ArticleDOI
TL;DR: In this paper, the first results from the exploration of new EUV alternative materials and the latest results from conventional EUV photoresist evaluation and process optimization at imec towards the ASML NXE:3300 full field exposure tool are reported.
Abstract: In the last years the continuous efforts on the development of EUV lithography has allowed to push the lithographic performances of the EUV photoresists on the ASML NXE:3100 full field exposure tool at imec. The latest chemically amplified photoresists can reach an ultimate resolution of 16 nm and 24 nm for line-space (L/S) and dense contacts (CH), respectively, but the major issue on EUV photoresists remains to simultaneously meet resolution, sensitivity, line-edge roughness (LER) for LS and local CD uniformity (LCDU) for CH, suggesting that the desired performance characteristics of EUV photoresists may require the development of new EUV materials. Aiming to this, imec has recently started a new project to look into novel materials for EUV lithography to explore alternative approaches that can offer superior characteristics in photoresist imaging: improved LER and line collapse, high sensitivity and high etch resistance. In this paper we report the first results from the exploration of new EUV alternative materials and the latest results from the conventional EUV photoresist evaluation and process optimization at imec towards the ASML NXE:3300 full field exposure tool. Keyword: EUV lithography, EUVL, EUV resists, Alternative EUV materials

Journal ArticleDOI
TL;DR: In this paper, the authors summarize the knowledge on the bottlenecks against carrier transport in practical polycrystalline organic thin-film transistors, including extrinsic factors that disturb the carrier transport, intrinsic structure and properties, equations to express the overall carrier mobility, and influence of surface chemical modification on the crystallographic and electronic structures.
Abstract: Device physics in organic thin-film transistors (OTFTs) have been extensively studied along with the efforts to improve device performance and to develop their applications. Among many promising organic semiconducting materials, pentacene has been a benchmark in this research field. Understanding the bottlenecks of carrier transport in pentacene OTFTs is therefore important to maximize the performance of OTFTs. In this paper, we summarize our knowledge on the bottlenecks against carrier transport in practical polycrystalline organic thin films: extrinsic factors that disturb the carrier transport in OTFTs, intrinsic structure and properties, equations to express the overall carrier mobility in polycrystalline films, and influence of surface chemical modification on the crystallographic and electronic structures.

Journal ArticleDOI
TL;DR: In this paper, checkerboard strong phase shift masks have the potential to increase optical efficiency through a 4× increase in optical efficiency, and the feasibility of this method is demonstrated using the SEMATECH-Berkeley Microfield Exposure Tool pseudo phase shift mask configuration.
Abstract: Despite achieving 15-nm half pitch, the progress in extreme ultraviolet chemically amplified resist has arguably decelerated in recent years. We show that this deceleration is consistent with approaching stochastic limits both in photon counts and material parameters. Contact hole printing is a crucial application for extreme ultraviolet lithography and is particularly challenged by resist sensitivity due to inherent inefficiencies in darkfield contact printing. Checkerboard strong phase shift masks have the potential to alleviate this problem through a 4× increase in optical efficiency. The feasibility of this method is demonstrated using the SEMATECH-Berkeley Microfield Exposure Tool pseudo phase shift mask configuration and preliminary results are provided on the fabrication of an etched multilayer checkerboard phase shift mask.

Journal ArticleDOI
TL;DR: In this article, organic high-block copolymers (BCPs) were developed to obtain vertically oriented BCP domains by simple coat and bake process without application of an additional layer of a topcoat material.
Abstract: To extend the scaling beyond the most widely used poly(styrene-b-methyl methacrylate) (PS-b-PMMA), organic high- block copolymers (BCPs) were developed. Vertically oriented BCP domains were obtained by simple coat and bake process without application of an additional layer of a topcoat material. In addition, process-friendly conditions including low bake temperature (< 200 °C) and short bake time ( 5 min) provided a simple scheme to integrate these high- block copolymers to standard lithography process and pre-patterns defined by 193i lithography. Successful demonstration of directed self-assembly of these high- block copolymers on 193i-defined guiding pre-patterns offers a simple route to access well-aligned perpendicular lamellae and cylinders with a pitch less than 20 nm.

Journal ArticleDOI
TL;DR: In this article, the authors optimized the distance between emissive layers to the metal cathode to reduce the non-radiation mode of OLED device and optimized the light distribution of OLED and optical properties of a light outcoupling substrate based on high refractive index microstructures.
Abstract: Non-radiation mode of OLED device was reduced by optimizing the distance between emissive layers to the metal cathode. Light distribution of OLED and optical properties of a light outcoupling substrate based on high refractive index microstructures were adjusted to achieve the better combination of the OLED device with the substrate. Those advanced optical design decreased non-radiative evanescent mode and waveguide mode, and realized a white OLED device with quite high light outcoupling efficiency of at least 56 % and outstandingly high efficacy of 133 lm/W at 1,000 cd/m.

Journal ArticleDOI
TL;DR: In this article, a photoresist model that incorporates resist shrinkage following the elasticity theory described by Flory was proposed to predict cross-section shape for isolated and dense trenches formed with an example NTD material.
Abstract: A simple analysis of aerial image quality reveals that negative tone imaging is superior to positive tone for small dimension contacts and trenches. Negative Tone Development (NTD) of positive chemically amplified (de-protecting) photoresist is currently the favored method for realizing such images on the wafer. One of the challenges for these materials is prediction of cross-section shape. Cross-section shape is often critical in leading-edge lithography processes where resist thinning or top loss can lead to pattern failure during the etch process. There are two important effects that make prediction of cross-section shape more difficult for NTD materials. First, NTD materials typically do not have the develop contrast of positive tone develop (PTD) systems. NTD often has a larger minimum (unexposed) develop rate, and a smaller maximum (fully exposed) develop rate. Second, photoresist typically shrinks after post-exposure bake in regions where de-protection is high. For PTD, these regions dissolve, and the features are formed by the protected areas which do not show shrinkage. The opposite is true for NTD, where the regions with the largest amount of shrinkage form the features on the wafer. We demonstrate a photoresist model that incorporates resist shrinkage following the elasticity theory described by Flory – volume loss due to de-protection leads to a stress in the photoresist, and the material deforms in a way that minimizes the free energy of the system. We show that this model, combined with accurate dissolution rate measurements, can accurately predict cross-section shape for isolated and dense trenches formed with an example NTD material. Keyword: negative tone development, lithography simulation, finite element method, shrinkage

Journal ArticleDOI
TL;DR: In this article, the preparation of poly(p-phenylene benzobisoxazole) nanofibers with an average diameter of approximately 50 nm via crystallization from a dilute solution in sulfuric acid was reported.
Abstract: Poly(p-phenylene benzobisoxazole) (PBO) has excellent thermal stability and mechanical properties because of its rod-like rigid structure. Preparing nanofibers of PBO using ordinary methods is difficult (e.g., electrospinning) because PBO is not soluble in organic solvents. Herein, we report the preparation of PBO nanofibers with an average diameter of approximately 50 nm via crystallization from a dilute solution in sulfuric acid. In addition, a PBO nanofiber mat was prepared that exhibited extremely high thermal stability and high thermal diffusivity, etc.



Journal ArticleDOI
TL;DR: In this paper, the chemical reaction analysis of EUV (Extreme Ultraviolet) chemical amplified (CA) resist and acid diffusion length evaluation was carried out and it was found that the Imidate-type of anion of PAG has high sensitivity and short diffusion length.
Abstract: Simultaneous achievement of high sensitivity and low line edge roughness (LWR) is necessary in EUV resist. The chemical reaction analysis of EUV (Extreme Ultraviolet) chemical amplified (CA) resist and acid diffusion length evaluation was carried out. In order to achieve low LWR of the CA resist, the large chemical structure of the anion of photoacid generator (PAG) is required for shortening the acid diffusion length. On the other hand, in order to increase the sensitivity, on the basis of the chemical reaction analysis using the soft x-ray absorption spectroscopy, the decomposition reaction of the large chemical structure of the PAG anion should be taken in account in addition of ionization reaction. However, if the decomposition reaction occur, the acid diffusion length will become shorter than that as expected. It is found that the Imidate-type of anion of PAG has high sensitivity and short diffusion length. The chemical reaction analysis by the soft x-ray absorption spectroscopy using the synchrotron radiation with the combination analysis of the acid diffusion are useful method for the mitigation of high sensitivity and low LWR.

Journal ArticleDOI
TL;DR: In this article, the performance of a variety of leading extreme ultraviolet resist both with and without chemical amplification is compared to stochastic modeling results using the Multivariate Poisson Propagation Model.
Abstract: Progress in the ultimate performance of extreme ultraviolet resist has arguably decelerated in recent years suggesting an approach to stochastic limits both in photon counts and material parameters. Here we report on the performance of a variety of leading extreme ultraviolet resist both with and without chemical amplification. The measured performance is compared to stochastic modeling results using the Multivariate Poisson Propagation Model. The results show that the best materials are indeed nearing modeled performance limits.


Journal ArticleDOI
TL;DR: In this paper, a reverse mode liquid crystal (LC) and photo-reactive mesogen (RMS) was used to produce a transparent off-state and a light scattering on-state.
Abstract: Electro-optical properties have been investigated as a function of cell thickness in a reverse mode cell which shows a transparent off-state and a light scattering on-state. The cell is prepared by using a nematic liquid crystal (LC) and a photo-reactive mesogen. A driving voltage and a contrast ratio of this device strongly depend on a cell thickness and there is a trade-off relationship between them. We have reported that the cell thickness dependence in the reverse mode LC cell is different from that in a normal scattering mode polymer dispersed LC with normal scattering mode. The turbidity in voltage on-state is investigated as a function of cell thickness and a simple model with polymer rich and poor layers in the cell is proposed to analyze scattering properties. The low driving voltage is compatible with the high contrast ratio by control the cell thickness and the morphology of polymer network.


Journal ArticleDOI
TL;DR: In this article, a series of composite films composed of m-sized aluminum nitride (AlN) particles and polyimides (PIs) were fabricated using (a) rigid-chain sBPDA-PPD (sBPPD) PI and (b) flexiblechain s BPDA-ODA (s BPOD) PI as matrices, and the relationship between their morphological structures and thermophysical properties were investigated by analyzing cross-sectional SEM images and by measuring out-of-plane thermal conductivity (TC ) and in-plane coefficients of thermal expansion (
Abstract: A series of composite films composed of m-sized aluminum nitride (AlN) particles and polyimides (PIs) were fabricated using (a) rigid-chain sBPDA-PPD (sBPPD) PI and (b) flexiblechain sBPDA-ODA (sBPOD) PI as matrices. The relationship between their morphological structures and thermophysical properties were investigated by analyzing cross-sectional SEM images and by measuring out-of-plane thermal conductivity (TC ) and in-plane coefficients of thermal expansion (CTE//). The sBPPD chains exhibited a better polymer-filler packing behavior due to their rigid structure having small free volume. Both TC and CTE// of AlN-filled sBPPD films showed positive deviations from theoretical predictions, whereas no such behavior was observed in AlN/sBPOD composites or in sBPPD films filled with highly anisotropic hexagonal boron nitride (hBN) flakes. The enhanced TC and CTE// observed for the sBPPD composites can be attributed to a significant change in the orientational state of the PI chains; in-plane orientated sBPPD chains in the pristine PI film become distorted by incorporation of isotropic AlN particles.



Journal ArticleDOI
TL;DR: A series of polyimide (PI)/silver composite films incorporating μm-sized metallic silver particles (Ag-MPs) were prepared using matrices of sulfur(SD), fluorine(TF), and siliconcontaining (SIM) PIs as well as an immiscible blend of SDand TF-PIs (b-SF) as discussed by the authors.
Abstract: A series of polyimide (PI)/silver composite films incorporating μm-sized metallic silver particles (Ag-MPs) were prepared using matrices of sulfur(SD), fluorine(TF), and siliconcontaining (SIM) PIs as well as an immiscible blend of SDand TF-PIs (b-SF). The thermal conductivity (λ ) of the composited films accords well with the calculated value (λcal) based on the Bruggeman model below the particle contents ( ) of 30 vol%, whereas the composite films of SD/Ag and b-SF/Ag exhibited extraordinarily larger λ values than λcal in the range of >30 vol%. Moreover, the λ s of SD/Ag films with uniform dispersion of Ag-MPs are almost equivalent to those of b-SF/Ag films in which Ag-MPs are selectively dispersed in the SD phase. In contrast, the λ s of SIM/Ag films with homogeneous dispersion accord well with the λcal and much smaller than those of SD/Ag and b-SF/Ag. These facts indicate that effective thermal conductive paths were spontaneously generated in SD/Ag films as well as in b-SF/Ag films.

Journal ArticleDOI
Masato Saito1, Kunihiro Ugajin1, Keisuke Yagawa1, Machiko Suenaga1, Yoshihito Kobayashi1 
TL;DR: In this paper, the performance of conventional mask fabricating process was examined and the impact of proximity effect to the resist performance was examined, showing that resist damage induced by proximity effect degrades the resolution limit about 2nm.
Abstract: To investigate the possibility to catch up the NGL mask pattern size scaling strategy which indicated in ITRS2012, the performance of conventional mask fabricating process was examined. Current EB resist used for mask fabrication doesn’t have enough performance to resolve below hp20nm pattern. With newly developed CAR resist, the resolution limit reached to hp18nm pattern. Furthermore by using higher performance EB writer, the possibility to resolve up to hp16nm pattern was showed. The impact of proximity effect to the resist performance was examined. The resist damage induced by proximity effect degrades the resolution limit about 2nm. This is a serious problem for NGL mask manufacturing. Reducing the impact of proximity effect is one of the major challenges for developing higher resolution EB resist.

Journal ArticleDOI
TL;DR: In this paper, the light induced phase transition and reversible adhesive function were investigated for a range of D-mannitol derivatives partially substituted with multi-azobenzenes bearing free hydroxyl groups.
Abstract: The light induced phase transition and reversible adhesive function were investigated for a range of D-mannitol derivatives partially substituted with multi-azobenzenes bearing free hydroxyl groups. Irradiation with UV and visible light induced liquefaction and solidification of the compounds, which adhered glass plates. Further, the adhesion force could be changed reversibly upon irradiation with light. Moreover, the adhesion forces were larger than those of the fully substituted compound.