scispace - formally typeset
Proceedings ArticleDOI

Applicability of extreme ultraviolet lithography to fabrication of half pitch 35nm interconnects

Reads0
Chats0
TLDR
In this article, the authors describe the applicability of EUVL to the fabrication of back-end-of-line (BEOL) test chips with a feature size of hp 35672nm, which corresponds to the 19-nm logic node.
Abstract
Extreme ultraviolet lithography (EUVL) is moving into the phase of the evaluation of integration for device fabrication. This paper describes its applicability to the fabrication of back-end-of-line (BEOL) test chips with a feature size of hp 35 nm, which corresponds to the 19-nm logic node. The chips were used to evaluate two-level dual damascene interconnects made with low-k film and Cu. The key factors needed for successful fabrication are a durable multi-stack resist process, accurate critical dimension (CD) control, and usable overlay accuracy for the lithography process. A multi-stack resist process employing 70-nm-thick resist and 25-nm-thick SOG was used on the Metal-1 (M1) and Metal- 2 (M2) layers. The resist thickness for the Via-1 (V1) layer was 80 nm. To obtain an accurate CD, we employed rulebased corrections involving mask CD bias to compensate for flare variation, mask shadowing effects, and optical proximity effects. With these corrections, the CD variation for various 35-nm trench and via patterns was about ± 1 nm. The total overlay accuracy (|mean| ± 3σ) for V1 to M1 and M2 to V1 was below 12 nm. Electrical tests indicate that the uses of Ru barrier metal and scalable porous silica are keys to obtaining operational devices. The evaluation of a BEOL test chip revealed that EUVL is applicable to the fabrication of hp-35-nm interconnects and that device development can be accelerated.

read more

Citations
More filters
Proceedings ArticleDOI

Development status of EUV resist materials and processing at Selete

TL;DR: In this article, the Selete standard resist (SSR6 and SSR7) were evaluated for the feasibility of the Extreme ultraviolet (EUV) lithography process for manufacturing semiconductor devices.
Proceedings ArticleDOI

EUV flare and proximity modeling and model-based correction

TL;DR: In this article, the benefits and tradeoffs associated with hybrid OPC approaches which mix both rules-based and model-based OPC methods are discussed, including correction time, accuracy, and data volume.
Proceedings ArticleDOI

Simultaneous flare level and flare variation minimization with dummification in EUVL

TL;DR: Experimental results show that the flow can effectively and efficiently reduce the flare level and the flare variation, which may contribute to the better control of critical dimension (CD) uniformity.
Journal ArticleDOI

Observation of phase defect on extreme ultraviolet mask using an extreme ultraviolet microscope

TL;DR: In this article, the authors examined the influence of phase defect structures on extreme ultraviolet (EUV) microscope images and found that phase defects on the bottom of a multilayer (ML) do not always propagate vertically upward to the ML's top surface.
Journal ArticleDOI

Phase defect detection signal analysis: dependence of defect size variation

TL;DR: In this paper, the authors examined the measurement repeatability of the phase defect volume using SPM and the influence of the defect volume distribution on defect detection signal intensity (DSI) using an at-wavelength dark field defect inspection tool.
References
More filters
Proceedings ArticleDOI

Integration of EUV lithography in the fabrication of 22-nm node devices

TL;DR: In this paper, a full-field EUV mask was designed for contact and first interconnect layers using rule-based corrections to compensate for the effects of mask shadowing and imaging system flare.
Proceedings ArticleDOI

Impact of EUV light scatter on CD control as a result of mask density changes

TL;DR: In this paper, the impact of flare on critical dimension (CD) control for masks exhibiting Cr density changes that result in cross-field flare variation was investigated and it was shown that open field flare must be controlled to 11 percent for 30 nm isolated features and 6 percent for 20 nm isolated feature for an NA equals 0.25 system assuming a +/- 3 percent CD control budget allocated to flare.
Proceedings ArticleDOI

Nikon EUVL development progress summary

TL;DR: Nikon as mentioned in this paper presented significant progress on the development of EUV exposure tool with recent encouraging data of mirror polishing accuracy and evaluation results of Nikon reticle protection concept, which is scheduled to be delivered in 1st half of 2007.
Journal ArticleDOI

Alternative developer solutions for extreme ultraviolet resist

TL;DR: In this article, the use of tetrapropylammonium hydroxide (TPAH) and tetrabutyammonium hydride (tBAH) aqueous developer solutions is proposed as an alternative to the TMAH developer solution (semiconductor industry standard).
Proceedings ArticleDOI

Development of resist material and process for hp-2x-nm devices using EUV lithography

TL;DR: In this paper, the Selete standard resist 4 (SSR4) was reported to have better performance than SSR3 and SSR4, but the resist performance does not meet the stringent requirements for resolution limit, sensitivity, and line variance.
Related Papers (5)