scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Micro-nanolithography Mems and Moems in 2015"


Journal ArticleDOI
TL;DR: In this paper, the authors give an overview concerning the necessary theory for the successful application of pixelated modulators in holography, focusing on the limitations imposed by current and future technology.
Abstract: Pixelated spatial light modulators are used today in large quantities for projecting information. Apart from this standard application, the elements have found widespread use as dynamic changeable holograms for a multitude of applications ranging from microscopy over optical measurement systems to lithography. In this first part of our contribution, we give an overview concerning the necessary theory for the successful application of pixelated modulators in holography. We focus on the limitations imposed by current and future technology.

42 citations


Journal ArticleDOI
TL;DR: In this paper, the authors showed that the photosensitivity is linearly related to the free radical stability of the hydrocarbon group bound directly to tin (R=phenyl, butyl, and benzyl).
Abstract: Pure thin films of organotin compounds have been lithographically evaluated using extreme ultraviolet lithography (EUVL, 13.5 nm). Twenty compounds of the type R2Sn(O2CR′)2 were spin-coated from solutions in toluene, exposed to EUV light, and developed in organic solvents. Exposures produced negative-tone contrast curves and dense-line patterns using interference lithography. Contrast-curve studies indicated that the photosensitivity is linearly related to the molecular weight of the carboxylate group bound to tin. Additionally, photosensitivity was found to be linearly related to free radical stability of the hydrocarbon group bound directly to tin (R=phenyl, butyl, and benzyl). Dense-line patterning capabilities varied, but two resists in particular show exceptionally good line edge roughness (LER). A resist composed of an amorphous film of (C6H5CH2)2Sn(O2CC(CH3)3)2 (1) achieved 1.4 nm LER at 22-nm half-pitch patterning and a resist composed of (C6H5CH2)2Sn(O2CC6H5)2 (2) achieved 1.1 nm LER at 35-nm half-pitch at high exposure doses (600 mJ/cm2). Two photoresists that use olefin-based carboxylates, (C6H5CH2)2Sn(O2CCH⏧CH2)2 (3) and (C6H5CH2)2Sn(O2CC(CH3)⏧CH2)2 (4), demonstrated better photospeeds (5 mJ/cm2 and 27 mJ/cm2) but worse LER.

34 citations


Journal ArticleDOI
TL;DR: In this paper, the authors presented platinum and palladium mononuclear complexes with EUV photosensitivity and lithographic performance, and showed that palladium-based resists are more sensitive than platinum-based resistors.
Abstract: Here, we present platinum and palladium mononuclear complexes with EUV photosensitivity and lithographic performance. Many platinum and palladium complexes show little or no EUV sensitivity; however, we have found that metal carbonates and metal oxalates (L2M(CO3) and L2M(C2O4); M=Pt or Pd) are sensitive to EUV. The metal carbonates give negative-tone behavior. The most interesting result is that the metal oxalates give the first positive-tone EUV resists based on mononuclear organometallic compounds. In particular, (dppm)Pd(C2O4) (dppm=1,1-bis(diphenylphosphino)methane) (23) prints 30-nm dense lines with Esize of 50 mJ/cm2. Derivatives of (23) were synthesized to explore the relationship between the core metal and the resist sensitivity. The study showed that palladium-based resists are more sensitive than platinum-based resists. The photoreaction has been investigated for two of our most promising resists, (dppm)Pd(C2O4) (23) and (Ph2EtP)2PdC2O4 (27). Our experiments suggest the loss of CO2 and the formation of a zerovalent L4Pd complex upon exposure to light. We have identified dppm2Pd(δ(P)23.6) as the main photoproduct for (23) and (Ph2EtP)4Pd (δ(P)32.7) as the main photoproduct for (27).

34 citations


Journal ArticleDOI
TL;DR: In this article, the authors identify the issues and the level of control needed to achieve a stable DSA defect performance and identify the root causes of the DSA-induced defects and their kinetics of annihilation.
Abstract: High-defect density in thermodynamics driven directed self-assembly (DSA) flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high-volume manufacturing. The major questions raised in this regard are: (1) What is the intrinsic level of DSA-induced defects? (2) Can we isolate the DSA-induced defects from the other processes-induced defects? (3) How much do the DSA materials contribute to the final defectivity and can this be controlled? (4) How can we understand the root causes of the DSA-induced defects and their kinetics of annihilation? (5) Can we have block copolymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low-defect levels? We address these important questions and identify the issues and the level of control needed to achieve a stable DSA defect performance.

31 citations


Journal ArticleDOI
TL;DR: In this paper, the authors developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime.
Abstract: The routine “on demand” fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table–top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read–write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

29 citations


Journal ArticleDOI
TL;DR: The most sensitive of these resists contain antimony, three R-groups, four main group metals (M), and three polymerizable carboxylate groups (O2CR′) as discussed by the authors.
Abstract: We have developed organometallic carboxylate compounds [RnM(O2CR′)2] capable of acting as negative-tone extreme ultraviolet (EUV) resists. The most sensitive of these resists contain antimony, three R-groups and two carboxylate groups, and carboxylate groups with polymerizable olefins (e.g., acrylate, methacrylate, or styrenecarboxylate). Evidence suggests that high sensitivity is achieved through the polymerization of olefins in the exposed region. We have performed a systematic sensitivity study of the molecules of the type RnM(O2CR′)2 where we have studied seven R groups, four main group metals (M), and three polymerizable carboxylate groups (O2CR′). The sensitivity of these resists was evaluated using Emax or dose to maximum resist thickness after exposure and development. We found that the greatest predictor of sensitivity of the RnSb(O2CR′)2 resists is their level of polymerizable olefins. We mathematically define the polymerizable olefin loading (POL) as the ratio of the number of olefins versus the number of nonhydrogen atoms. Linear and log plots of Emax versus POL for a variety of molecules of the type R3Sb(O2CR′)2 lend insight into the behavior of these resists.

26 citations


Journal ArticleDOI
TL;DR: In this article, the authors applied this tooling to realize a honeycomb texture on the front side of multicrystalline silicon solar cells, leading to an improvement in optical efficiency of 7% relative and a total efficiency gain of 0.5% absolute compared to the industrial standard texture (isotexture).
Abstract: Due to its high resolution and applicability for large area patterning, nanoimprint lithography (NIL) is a promising technology for photovoltaic (PV) applications. However, a successful industrial application of NIL processes is only possible if large-area processing on thin, brittle, and potentially rough substrates can be achieved in a high-throughput process. The development of NIL processes using the SmartNIL technology from EV Group with a focus on PV applications is described. The authors applied this tooling to realize a honeycomb texture (8 μm period) on the front side of multicrystalline silicon solar cells, leading to an improvement in optical efficiency of 7% relative and a total efficiency gain of 0.5% absolute compared to the industrial standard texture (isotexture). On the rear side of monocrystalline silicon solar cells, the authors realized diffraction gratings to make use of light trapping effects. An absorption enhancement of up to 35% absolute at a wavelength of 1100 nm is demonstrated. Furthermore, photolithography was combined with NIL processes to introduce features for metal contacts into honeycomb master structures, which were initially realized using interference lithography. As a final application, the authors investigated the realization of very fine contact fingers with prismatic shape in order to minimize reflection losses.

26 citations


Journal ArticleDOI
Ze Liu1, Ran Zhang1, Zhiwen Wang1, Le Guan1, Bin Li1, Jinkui Chu1 
TL;DR: The results show that the proposed polarization-dependent sensor for autonomous navigation has potential for application in autonomous navigation.
Abstract: Based on the navigation strategy of insects utilizing the polarized skylight, an integrated polarization-dependent sensor for autonomous navigation is presented. The navigation sensor has the features of compact structure, high precision, strong robustness, and a simple manufacture technique. The sensor is composed by integrating a complementary-metal-oxide-semiconductor sensor with a multiorientation nanowire grid polarizer. By nanoimprint lithography, the multiorientation nanowire polarizer is fabricated in one step and the alignment error is eliminated. The statistical theory is added to the interval-division algorithm to calculate the polarization angle of the incident light. The laboratory and outdoor tests for the navigation sensor are implemented and the errors of the measured angle are ±0.02 deg and ±1.3 deg, respectively. The results show that the proposed sensor has potential for application in autonomous navigation.

23 citations


Journal ArticleDOI
TL;DR: The contour-based models used in SEM contour model-based calibration are as good as or better than a CD-based model with a significant advantage in the prediction of complex 2-D configurations with a reduced metrology work load.
Abstract: A scanning electron microscope (SEM) is the metrology tool used to accurately characterize very fine structures on wafers, usually by extracting one critical dimension (CD) per SEM image. This approach for optical proximity correction (OPC) modeling requires many measurements resulting in a lengthy cycle time for data collection, review, and cleaning, and faces reliability issues when dealing with critical two-dimensional (2-D) structures. An alternative to CD-based metrology is to use SEM image contours for OPC modeling. To calibrate OPC models with contours, reliable contours matched to traditional CD-SEM measurements are required along with a method to choose structure and site selections (number, type, and image space coverage) specific to a contour-based OPC model calibration. The potential of SEM contour model-based calibration is illustrated by comparing two contour-based models to reference models, one empirical model and a second rigorous simulation-based model. The contour-based models are as good as or better than a CD-based model with a significant advantage in the prediction of complex 2-D configurations with a reduced metrology work load.

22 citations


Journal ArticleDOI
TL;DR: In this article, a new approach for dual-wavelength digital holographic microscopy (DHM) is presented, where the synthetic wavelength is shorter than either of the two lasers, and thus higher measurement accuracy can be achieved.
Abstract: In traditional dual-wavelength digital holographic microscopy (DHM), a synthetic wavelength is obtained by using two lasers with different wavelengths, and the measurement range of the samples’ step height can be expanded from nanometers to micrometers. However, the measurement accuracy reduces along with the expansion of the measuring range, and significant noise is simultaneously introduced in this process. For cases where the sample’s step height is smaller than the wavelength of the illumination light, the measurement accuracy is very important. We present a new approach for dual-wavelength DHM. The synthetic wavelength is shorter than either of the two lasers, and thus higher measurement accuracy can be achieved. The numerical simulation and experiment results show the feasibility of this technique.

22 citations


Journal ArticleDOI
Seongbo Shim1, Youngsoo Shin1
TL;DR: This work selectively extracts the complicated patterns that are likely to cause lithography defects from test layouts by hierarchically classified into groups based on geometric similarity; then, a small number of patterns are chosen to represent each group.
Abstract: A small but diverse set of test patterns is essential for the optimization of lithography parameters. We selectively extract the complicated patterns that are likely to cause lithography defects from test layouts. These patterns are hierarchically classified into groups based on geometric similarity; then, a small number of patterns are chosen to represent each group. We demonstrate this approach in the synthesis of test patterns for metal layers. The total area of the resulting test patterns is only 10% of that of a set produced using a more conventional technique; the resulting hotspot library has 30% fewer patterns, and the time required to create it is cut by an order of magnitude.

Journal ArticleDOI
TL;DR: In this article, pixelated gradient-based joint source polarization mask optimization (SPMO) approaches, which effectively extend the solution space of the SMO problem by introducing polarization variables, are developed.
Abstract: Source and mask optimization (SMO) has emerged as a key resolution enhancement technique for advanced optical lithography. Current SMO, however, keeps the polarization state fixed, thus limiting the degrees of freedom during the optimization procedure. To overcome this limitation, pixelated gradient-based joint source polarization mask optimization (SPMO) approaches, which effectively extend the solution space of the SMO problem by introducing polarization variables, are developed. First, the SPMO framework is formulated using an integrative and analytic vector imaging model that is capable of explicitly incorporating the polarization angles. Subsequently, two optimization methods, namely simultaneous SPMO (SISPMO) and sequential SPMO (SESPMO) are developed, both of which exploit gradient-based algorithms to solve for the optimization problem. In addition, a postprocessing method is applied to reduce the complexity of the optimized polarization angle pattern for improving its manufacturability. Illustrative simulations are presented to validate the effectiveness of the proposed algorithms. The simulations also demonstrate the superiority of the SESPMO over SISPMO in computational efficiency and improvement of image fidelity.

Journal ArticleDOI
TL;DR: A comprehensive study on the DSA aware mask optimization problem to provide a DSA friendly design on cut layers is performed, and two speed-up strategies are proposed.
Abstract: Recently, directed self-assembly (DSA) has emerged as a promising lithography solution for cut manufacturing. We perform a comprehensive study on the DSA aware mask optimization problem to provide a DSA friendly design on cut layers. We first formulate the problem as an integer linear programming (ILP) to assign cuts to different guiding templates, targeting both conflict minimization and line-end extension minimization. As ILP may not be scalable for very large size problems, we then propose two speed-up strategies. The first one is to decompose the initial problem into smaller ones and solve them separately, followed by solution merging without much loss of quality. The second one is using the set cover algorithm to decide the DSA guiding pattern assignment, and then legalize the template placement. Our approaches can be naturally extended to handle arbitrary DSA guiding template patterns with complicated shapes. Experimental results show that our methodologies can significantly improve the DSA friendly, i.e., both the unresolved pattern number and the line-end extensions can be reduced.

Journal ArticleDOI
TL;DR: In this article, Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry is used to characterize LER in periodic line-space structures in 28-nm pitch Si fin samples fabricated by directed self-assembly patterning.
Abstract: Measurement and control of line edge roughness (LER) is one of the most challenging issues facing patterning technology. As the critical dimensions (CDs) of patterned structures decrease, an LER of only a few nanometers negatively impacts device performance. Here, Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry is used to characterize LER in periodic line-space structures in 28-nm pitch Si fin samples fabricated by directed self-assembly patterning. The optical response of the MM elements is influenced by structural parameters like pitch, CDs, height, and side-wall angle, as well as the optical properties of the materials. Evaluation and decoupling MM element response to LER from other structural parameters requires sensitivity analysis using scatterometry models that include LER. Here, an approach is developed that can be used to characterize LER in Si fins by comparing the optical responses generated by systematically varying the grating shape and measurement conditions. Finally, the validity of this approach is established by comparing the results obtained from power spectral density analysis of top down scanning electron microscope images and cross-sectional transmission electron microscope image of the 28-nm pitch Si fins.

Journal ArticleDOI
TL;DR: This review describes the principles of digital holography in microscopy and shows the most important numerical tools discovered and applied to date in the field of MEMS.
Abstract: Digital holography (DH) in microscopy became an important interferometric tool in optical metrology when camera sensors reached a higher pixel number with smaller size and high-speed computers became able to process the acquired images. This allowed the investigation of engineered surfaces on microscale, such as microelectromechanical systems (MEMS). In DH, numerical tools perform the reconstruction of the wave field. This offers the possibility of retrieving not only the intensity of the acquired wavefield, but also the phase distribution. This review describes the principles of DH and shows the most important numerical tools discovered and applied to date in the field of MEMS. Both the static and the dynamic regimes can be analyzed by means of DH. Whereas the first one is mostly related to the characterization after the fabrication process, the second one is a useful tool to characterize the actuation of the MEMS.

Journal ArticleDOI
TL;DR: In this paper, an adaptive scanning speed procedure for self-actuated atomic force microscopy (AFM) cantilevers with integrated two-dimensional electron gas (2-DEG) piezoresistive deflection sensors is presented.
Abstract: The conventional optical lever detection technique involves optical components and their precise mechanical alignment. An additional technical limit is the weight of the optical system in cases where a top-scanner is used with high-speed and high-precision metrology. An alternative represents the application of self-actuated atomic force microscopy (AFM) cantilevers with integrated two-dimensional electron gas (2-DEG) piezoresistive deflection sensors. A significant improvement in the performance of such cantilevers with respect to deflection sensitivity and temperature stability has been achieved by using an integrated Wheatstone bridge configuration. Due to employing effective crosstalk isolation and temperature drift compensation, the performance of these cantilevers was significantly improved. In order to enhance the speed of AFM measurements, we present an adaptive scanning speed procedure. Examples of AFM measurements with a high scanning speed (up to 200 lines/s) committed to advanced lithography process development are shown.

Journal ArticleDOI
TL;DR: In this paper, numerically optimized diffractive phase masks are used to project microscale patterns onto photoresist-coated oblique and multiplane surfaces for 3D micropatterning.
Abstract: Micropatterning on oblique and multiplane surfaces remains a challenge in microelectronics, microelectromechanics, and photonics industries. We describe the use of numerically optimized diffractive phase masks to project microscale patterns onto photoresist-coated oblique and multiplane surfaces. Intriguingly, we were able to pattern a surface at 90 deg to the phase mask, which suggests the potential of our technique to pattern onto surfaces of extreme curvature. Further studies show that mask fabrication error of below 40-nm suffices to conserve pattern fidelity. A resolution of 3 μm and a depth-of-focus of 55 μm are essentially dictated by the design parameters, the mask generation tool, and the exposure system. The presented method can be readily extended for simple and inexpensive three-dimensional micropatterning.

Journal ArticleDOI
TL;DR: In this article, the relative position between two stubs in a metal-insulator-metal plasmonics waveguide using NEMS technology has been investigated for active resonance frequency tuning.
Abstract: Nanoelectromechanical systems (NEMS) design for active resonance frequency tuning of plasmonics optical filter is proposed and discussed. The design is based on controlling the relative position between two stubs in a metal-insulator-metal plasmonics waveguide using NEMS technology. The analysis of the optical design as well as the mechanical design is performed. Finally, a reasonable fabrication process of the device is proposed. For the suggested mechanical design parameters, the optical resonance wavelength can be tuned

Journal ArticleDOI
TL;DR: In this article, a centimeter-scale micromixer was fabricated by two-photon polymerization inside a closed microchannel using direct laser writing, which consists of a repeating pattern of 20"μm×20"
Abstract: A centimeter-scale micromixer was fabricated by two-photon polymerization inside a closed microchannel using direct laser writing. The structure consists of a repeating pattern of 20 μm×20 μm×155 μm acrylate pillars and extends over 1.2 cm. Using external ultrasonic actuation, the micropillars locally induce streaming with flow speeds of 30 μm s −1 . The fabrication method allows for large flexibility and more complex designs

Journal ArticleDOI
TL;DR: In this paper, a micro-fabricated water-immersible scanning mirror with a small form factor was developed for in vivo high-speed and wide-field ultrasound and photoacoustic microscopy.
Abstract: Microscanning mirrors that can operate reliably under water are useful in both ultrasound and photoacoustic microscopic imaging, where fast scanning of focused high-frequency ultrasound beams is desired for pixel-by-pixel data acquisition. We report the development of a new microfabricated water-immersible scanning mirror with a small form factor. It consists of an optically and acoustically reflective mirror plate which is supported by two flexible polymer hinges and driven by an integrated electromagnetic microactuator. It can achieve 1-axis scanning of ±12.1 deg at a resonant frequency of 250 Hz in air and 210 Hz in water, respectively. By optimizing the design and enhancing the fabrication with high-precision optical three-dimensional printing, the overall size of the scanning mirror module is less than 7 mm×5 mm×7 mm. The small form factor, large scanning angle, and high-resonant frequency of the new water-immersible scanning mirror make it suitable for building compact handheld imaging probes for in vivo high-speed and wide-field ultrasound and photoacoustic microscopy.

Journal ArticleDOI
TL;DR: In this paper, Mueller matrix spectroscopic ellipsometry (MMSE) based scatterometry was used to optically characterize polystyrene-b-polymethylmethacrylate patterns and Si fins fabricated with DSA.
Abstract: Patterning based on directed self-assembly (DSA) of block copolymer (BCP) has been demonstrated to be a cost-effective manufacturing technique for advanced sub-20-nm structures. This paper describes the application of Mueller matrix spectroscopic ellipsometry (MMSE) based scatterometry to optically characterize polystyrene-b-polymethylmethacrylate patterns and Si fins fabricated with DSA. A regression-based (inverse-problem) approach is used to calculate the line-width, line-shape, sidewall-angle, and thickness of the DSA structures. In addition, anisotropy and depolarization calculations are used to determine the sensitivity of MMSE to DSA pattern defectivity. As pattern order decreases, the mean squared error value increases, depolarization value increases, and anisotropy value decreases. These specific trends are used in the current work as a method to judge the degree of alignment of the DSA patterns across the wafer.

Journal ArticleDOI
TL;DR: The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be feasible otherwise and the effect of systematic and highly correlated errors in the measurement on the χ2 function that is minimized is illustrated.
Abstract: Hybrid metrology, e.g., the combination of several measurement techniques to determine critical dimensions, is an increasingly important approach to meet the needs of the semiconductor industry. A proper use of hybrid metrology may yield not only more reliable estimates for the quantitative characterization of 3-D structures but also a more realistic estimation of the corresponding uncertainties. Recent developments at the National Institute of Standards and Technology (NIST) feature the combination of optical critical dimension (OCD) measurements and scanning electron microscope (SEM) results. The hybrid methodology offers the potential to make measurements of essential 3-D attributes that may not be otherwise feasible. However, combining techniques gives rise to essential challenges in error analysis and comparing results from different instrument models, especially the effect of systematic and highly correlated errors in the measurement on the χ2 function that is minimized. Both hypothetical examples and measurement data are used to illustrate solutions to these challenges.

Journal ArticleDOI
TL;DR: In this paper, a generalized Welch window was used to evaluate data window functions for reducing spectral leakage and to understand the impact of data detrending on biases in PSD, autocovariance function (ACF), and height-to-height covariance function measurement.
Abstract: Power spectral density (PSD) analysis is an important part of understanding line-edge and linewidth roughness in lithography. But uncertainty in the measured PSD, both random and systematic, complicates inter- pretation. It is essential to understand and quantify the sources of the measured PSD's uncertainty and to develop mitigation strategies. Both analytical derivations and simulations of rough features are used to evaluate data window functions for reducing spectral leakage and to understand the impact of data detrending on biases in PSD, autocovariance function (ACF), and height-to-height covariance function measurement. A generalized Welch window was found to be best among the windows tested. Linear detrending for line-edge roughness measurement results in underestimation of the low-frequency PSD and errors in the ACF and height-to-height covariance function. Measuring multiple edges per scanning electron microscope image reduces this detrending


Journal ArticleDOI
TL;DR: In this paper, the authors give an overview of applications for the usage of pixelated spatial light modulators in dynamic holography based on the functionalities that the dynamic holograms fulfill.
Abstract: Dynamic holography can replace or enhance traditional basic optical functionalities within a lot of different optical systems. In particular, the possibility of considerably changing the function of a system is an important strength that is rarely possible in conventional optical systems. Today, different spatial light modulators can be employed in order to achieve dynamic holography, but most often liquid crystal on silicon phase modulators are used. We give an overview of applications for the usage of pixelated spatial light modulators in dynamic holography based on the functionalities that the dynamic holograms fulfill.

Journal ArticleDOI
TL;DR: In this paper, a magnetic mitigation system to deflect ionic debris by use of a strong permanent magnet is proposed and investigated, and experimental results from an EUV source confirm both the correctness of the model and the viability of magnetic mitigation as a successful means of deflecting ionic particles.
Abstract: In extreme ultraviolet (EUV) lithography, plasmas are used to generate EUV light. Unfortunately, these plasmas expel high-energy ions and neutrals which damage the collector optic used to collect and focus the EUV light. One of the main problems facing EUV source manufacturers is the necessity to mitigate this debris. A magnetic mitigation system to deflect ionic debris by use of a strong permanent magnet is proposed and investigated. A detailed computational model of magnetic mitigation is presented, and experimental results from an EUV source confirm both the correctness of the model and the viability of magnetic mitigation as a successful means of deflecting ionic debris.

Journal ArticleDOI
TL;DR: In this article, the spectral emission properties of a droplet-based laser-produced plasma were investigated in the vacuum ultraviolet (VUV) range with a spectrograph that operates from 30 to 180 nm with a spectral resolution of 1 nm.
Abstract: The spectral emission properties of a droplet-based laser-produced plasma are investigated in the vacuum ultraviolet (VUV) range Measurements are performed with a spectrograph that operates from 30 to 180 nm with a spectral resolution of 01 nm The emission spectra are recorded for different metal droplet targets, namely tin, indium, and gallium Measurements were performed at different pressure levels of the background gas Several characteristic emission lines are observed The spectra are also calibrated in intensity in terms of spectral radiance to allow absolute emission power estimations from the light source in the VUV region The presented experimental results are relevant for alternative light sources that would be needed for future wafer inspection tools In addition, the experimental results help to determine the out-of-band radiation emission of a tin-based extreme ultraviolet (EUV) source By tuning the type of fuel, the laser energies, and the background gas, the laser-produced plasma light source shows good capabilities to be operated as a light source that covers a spectral emission range from the EUV to the sub-200 nm range

Journal ArticleDOI
Abstract: A MEMS hotplate consisting of a double spiral platinum-based element was designed and simulated using MEMS-CAD tool COVENTORWARE. A platinum resistor of 115 Ω was fabricated on a 0.6 μm-thickSiO2 membrane of size 120 μm×120 μm. The hotplate consumes 54 mW when heated up to 756°C. The temperature coefficient of resistance of platinum was measured and found to be 2.19×10−3/°C. The fabrication and reliability testing of the hotplate are described. The test results show that the hotplate can continuously operate at 580°C for 5.5 h and it can sustain at least 60 cycles of pulse-mode operation at 530°C with very low temperature and resistance drifts. The maximum current capability of the hotplate was found to be 13.4 mA without any damage to the structure.

Journal ArticleDOI
TL;DR: In this paper, the dissolution rate of a commercial lift-off resist (LOR) in a sodium-based buffered commercial developer that does not etch aluminum was measured.
Abstract: Recently published reports in the literature for bilayer lift-off processes have described recipes for the patterning of metals that have recommended metal-ion-free developers, which do etch aluminum. We report the first measurement of the dissolution rate of a commercial lift-off resist (LOR) in a sodium-based buffered commercial developer that does not etch aluminum. We describe a reliable lift-off recipe that is safe for multiple process steps in patterning thin (<100 nm) and thick aluminum devices with micron-feature sizes. Our patterning recipe consists of an acid cleaning of the substrate, the bilayer (positive photoresist/LOR) deposition and development, the sputtering of the aluminum film along with a palladium capping layer and finally, the lift-off of the metal film by immersion in the LOR solvent. The insertion into the recipe of postexposure and sequential develop-bake-develop process steps are necessary for an acceptable undercut. Our recipe also eliminates any need for accompanying sonication during lift-off that could lead to delamination of the metal pattern from the substrate. Fine patterns were achieved for both 100-nm-thick granular aluminum/palladium bilayer bolometers and 500-nm-thick aluminum gratings with 6-μm lines and 4-μm spaces.

Journal ArticleDOI
TL;DR: In this paper, the authors report the results of the fabrication of large arrays of nanopillars for future tribological experiments, achieving a constant high aspect ratio up to 1∶24 and a separation between each pair of adjacent pillars.
Abstract: This article reports the results of the fabrication of large arrays of nanopillars for future tribological experiments. This fabrication focused on achieving a constant high aspect ratio up to 1∶24 and a separation between each pair of adjacent pillars. Electron beam lithography was used to write patterns in hydrogen silsesquioxane (HSQ) negative tone resist. To achieve nanopillars of high aspect ratios and with smooth sides, deep reactive ion etching was employed with SF6 and O2 at cryogenic temperatures. Finally, the residual HSQ was removed using CHF3/O2 plasma etching in order to obtain a smooth finish.