scispace - formally typeset
Open AccessJournal ArticleDOI

Energy Optimization in Commercial FPGAs with Voltage, Frequency and Logic Scaling

Reads0
Chats0
TLDR
This paper investigates the energy reductions possible in commercially available FPGAs configured to support voltage, frequency and logic scalability combined with power gating and proposes an algorithm to combine effectively adaptive voltage/logic scaling and power gater in the proposed system and application.
Abstract
This paper investigates the energy reductions possible in commercially available FPGAs configured to support voltage, frequency and logic scalability combined with power gating. Voltage and frequency scaling is based on in-situ detectors that allow the device to detect valid working voltage and frequency pairs at run-time while logic scalability is achieved with partial dynamic reconfiguration. The considered devices are FPGA-processor hybrids with independent power domains fabricated in 28 nm process nodes. The test case is based on a number of operational scenarios in which the FPGA side is loaded with a motion estimation core that can be configured with a variable number of execution units. The results demonstrate that voltage scalability reduces power by up to 60 percent compared with nominal voltage operation at the same frequency. The energy analysis show that the most energy efficiency core configuration depends on the performance requirements. A low performance scenario shows that serial computation is more energy efficient than the parallel configuration while the opposite is true when the performance requirements increase. An algorithm is proposed to combine effectively adaptive voltage/logic scaling and power gating in the proposed system and application.

read more

Content maybe subject to copyright    Report

Citations
More filters
Journal ArticleDOI

Data center challenges and their power electronics

TL;DR: In this paper, the authors review the power hierarchy levels within modern data centers and discuss strategies that enhance center energy efficiency, both in terms of overall center operation and in terms for computation performance.
Proceedings ArticleDOI

Comprehensive evaluation of supply voltage underscaling in FPGA on-chip memories

TL;DR: To attain power savings without NN accuracy loss, a novel technique is proposed that relies on the deterministic behavior of undervolting faults and can limit the accuracy loss to 0.1% without any timing-slack overhead.
Journal ArticleDOI

Adaptive voltage scaling in a heterogeneous FPGA device with memory and logic in-situ detectors

TL;DR: An enhanced tool flow and hardware is presented to allow a host CPU to exploit the timing margins available on a FPGA fabric to improve its performance or reduce its energy and power requirements.
Proceedings ArticleDOI

Fault Characterization Through FPGA Undervolting

TL;DR: This paper comprehensively analyzes the behavior of undervolting FPGA on-chip memories (BRAMs) in terms of rate, type, location, and environmental temperature, to deliver further power and energy savings in low-voltage designs.
Journal ArticleDOI

In-the-Field Mitigation of Process Variability for Improved FPGA Performance

TL;DR: This paper exploits the existing process variability of commercial off-the-shelf FPGAs to improve the operating frequency of a design, in- the-field, at anytime during the lifetime of a chip.
References
More filters
Journal ArticleDOI

Measuring the Gap Between FPGAs and ASICs

TL;DR: Experimental measurements of the differences between a 90- nm CMOS field programmable gate array (FPGA) and 90-nm CMOS standard-cell application-specific integrated circuits (ASICs) in terms of logic density, circuit speed, and power consumption for core logic are presented.
Journal ArticleDOI

The future of microprocessors

TL;DR: Energy efficiency is the new fundamental limiter of processor performance, way beyond numbers of processors.
Journal ArticleDOI

RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance

TL;DR: This paper presents a design (RazorII) which implements a flip-flop with in situ detection and architectural correction of variation-induced delay errors and demonstrates SER tolerance on the RazorII processor through radiation experiments.
Proceedings ArticleDOI

Reducing leakage energy in FPGAs using region-constrained placement

TL;DR: A leakage-saving technique for FPGAs that involves dividing the FPGA fabric into small regions and switching on/off the power supply to each region using a sleep transistor in order to conserve leakage energy is proposed.
Proceedings ArticleDOI

Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics

TL;DR: This is the first in-depth study on applying both dual-Vdd/dual-Vt to FPGA considering circuits, fabrics and CAD algorithms and develops CAD algorithms including power-sensitivity based voltage assignment and simulated-annealing based placement to leverage such fabrics.
Related Papers (5)