scispace - formally typeset
Journal ArticleDOI

High-speed energy-efficient bi-directional transceiver for on-chip global interconnects

Nijwm Wary, +1 more
- 01 Oct 2015 - 
- Vol. 9, Iss: 5, pp 319-327
Reads0
Chats0
TLDR
A new bi-directional transceiver has been proposed for high-speed signalling across on-chip global interconnects and has very low small-signal impedance for both modes of operation and thereby supports high bandwidth of the link.
Abstract
In this paper, a new bi-directional transceiver has been proposed for high-speed signalling across on-chip global interconnects. The proposed transceiver has two modes of operation namely, the transmitter and the receiver. As a result, two transceivers sitting at the two ends of an interconnect can support two-way communication through the same link. The transceiver has very low small-signal impedance for both modes of operation and thereby supports high bandwidth of the link. Moreover, because of its high transimpedance gain over a large bandwidth in the receiving mode, the signalling current can be reduced to a very low value. The circuit has been designed in 65 nm, 1.2 V process with a global interconnect of length 10 mm and width 1.5 μm. Post-layout simulation of the transceivers with the link gives an energy efficiency of 0.101 pJ/b for a data transmission of 14 Gbps.

read more

Citations
More filters
Proceedings Article

A 3-Gb/s/ch transceiver for 10-mm uninterrupted rc-limited global on-chip interconnects

TL;DR: In this article, a bus-transceiver test chip in a 0.13-μm, 1.2-V, 6-M copper CMOS process has been designed using 10mm-long differential interconnects with wire widths and spacing of only 0.4 μm.
Journal ArticleDOI

Current-Mode Full-Duplex Transceiver for Lossy On-Chip Global Interconnects

TL;DR: The proposed hybrid transceiver has a directional inverter/buffer (DIB) circuit which inverts the outbound signal whereas conveys the incoming signal maintaining the same phase with a gain greater than one, making it suitable for lossy on-chip link.
Journal ArticleDOI

A 16 Gbps, Full-Duplex Transceiver over Lossy On-Chip Interconnects in 28 nm CMOS Technology

TL;DR: This work shows the highest achievable full-duplex data rate, among the solutions reported in the literature to date, yet with low complexity, low layout area, and competitive power efficiency.
Journal ArticleDOI

Current-Mode Triline Transceiver for Coded Differential Signaling Across On-Chip Global Interconnects

TL;DR: An energy efficient current-mode triline transceiver pair suitable for this signaling scheme has been proposed and a prototype design has been implemented in a UMC 0.18- $\mu \text{m}$ technology for an interconnect of length 5 mm.
Proceedings ArticleDOI

Energy Efficient Bidirectional Equalized Transceiver with PVT Insensitive Active Termination

TL;DR: A current-mode bidirectional transceiver for off-chip interconnects, which deploys a feed-forward equalization (FFE) scheme in transmitter for channel-loss compensation, and makes use of a current-boosting driver which boosts the pre-drive current, increasing the current-efficiency of the transmitter compared to the conventional CML driver.
References
More filters
Journal ArticleDOI

A 2dvEv- bit distributed algorithm for the directed Euler trail problem

TL;DR: The algorithm can be used as a building block for solving other distributed graph problems, and can be slightly modified to run on a strongly-connected diagraph for generating the existent Euler trail or to report that no Euler trails exist.
Journal ArticleDOI

Near speed-of-light signaling over on-chip electrical interconnects

TL;DR: In this article, the propagation limits of electrical signals for systems built with conventional silicon processing are explored and a design which takes advantage of the inductance-dominated high-frequency regime of on-chip interconnect is shown capable of transmitting data at velocities near the speed of light.
Journal ArticleDOI

High Speed and Low Energy Capacitively Driven On-Chip Wires

TL;DR: The capacitor improves delay through signal pre-emphasis, offers a reduced voltage swing on the wire for low energy without a second power supply, and reduces the driven load, allowing for smaller drivers.
Journal ArticleDOI

Low-Power, High-Speed Transceivers for Network-on-Chip Communication

TL;DR: This paper presents a low-power, high-speed source-synchronous link transceiver which enables a factor 3.3 reduction in link power together with an 80% increase in data-rate.
Journal ArticleDOI

Power Efficient Gigabit Communication Over Capacitively Driven RC-Limited On-Chip Interconnects

TL;DR: A set of circuit techniques to achieve high data rate point-to-point communication over long on-chip RC-limited wire-pairs using an s-parameter wire-pair model and shows that a driver with series capacitance and a resistive load are fair approximations of these ideal terminations in the frequency range of interest.
Related Papers (5)