scispace - formally typeset
Search or ask a question

Showing papers on "Fault indicator published in 2007"


Journal ArticleDOI
TL;DR: In this article, the current and voltage waveforms measured during fault events are characterized to obtain a set of descriptors, which are analyzed by using the projection pursuit exploratory data analysis to obtain the best projection in the alpha* and beta* axes.
Abstract: This paper proposes alternatives to improve the electric power service continuity using the learning algorithm for multivariable data analysis (LAMDA) classification technique to locate faults in power distribution systems. In this paper, the current and voltage waveforms measured during fault events are characterized to obtain a set of descriptors. These sets are analyzed by using the projection pursuit exploratory data analysis to obtain the best projection in the alpha* and beta* axes. Next, these projections are used as input data of five LAMDA nets which locate the fault in a power distribution system. The proposed methodology demands a minimum of investment from utilities since it only requires measurements at the distribution substation. The information used to estimate the fault location is the system configuration, line parameters, and data from recorders installed at the distribution substation.

159 citations


Journal ArticleDOI
TL;DR: In this paper, a high impedance arcing fault due to a leaning tree in medium voltage (MV) networks is modeled and experimentally verified, where the fault is represented in two parts; an arc model and a high resistance.
Abstract: A high impedance arcing fault due to a leaning tree in medium voltage (MV) networks is modeled and experimentally verified. The fault is represented in two parts; an arc model and a high resistance. The arc is generated by a leaning tree towards the network conductor and the tree resistance limits the fault current. The arcing element is dynamically simulated using thermal equations. The arc model parameters and resistance values are determined using the experimental results. The fault behavior is simulated by the ATP/EMTP program, in which the arc model is realized using the universal arc representation. The experimental results have validated the system transient model. Discrete wavelet transform is used to extract the fault features and therefore localize the fault events. It is found that arc reignitions enhance fault detection when discrete wavelet transform is utilized

140 citations


Patent
08 Jan 2007
TL;DR: In this article, a fault detection mechanism for a string comprising a plurality of serially connected LEDs is presented, where a control circuitry and a voltage measuring means, in communication with the control circuitry, arranged to measure the voltage drop across at least one LED of the LED string.
Abstract: A fault detection mechanism for a LED string comprising a plurality of serially connected LEDs, the fault detection mechanism comprising: a control circuitry; and a voltage measuring means, in communication with the control circuitry, arranged to measure the voltage drop across at least one LED of the LED string, the control circuitry being operable to: measure the voltage drop, via the voltage measuring means, at a plurality of times, compare at least two of the measured voltage drops, and in the event the comparison of the at least two voltage drops is indicative of one of a short circuit LED and an open circuit LED, output a fault indicator.

133 citations


Journal ArticleDOI
TL;DR: In this article, the authors present a method for estimating the direction of fault in a radial distribution system using phase change in current, where the difference in phase angle between the positive-sequence component of the current during fault and prefault conditions is found to be a good indicator of the fault direction in a three-phase system.
Abstract: When a remotely sited wind farm is connected to the utility power system through a distribution line, the overcurrent relay at the common coupling point needs a directional feature. This paper presents a method for estimating the direction of fault in such radial distribution systems using phase change in current. The difference in phase angle between the positive-sequence component of the current during fault and prefault conditions has been found to be a good indicator of the fault direction in a three-phase system. A rule base formed for the purpose decides the location of fault with respect to the relay in a distribution system. Such a strategy reduces the cost of the voltage sensor and/or connection for a protection scheme which is of relevance in emerging distributed-generation systems. The algorithm has been tested through simulation for different radial distribution systems.

129 citations


Journal ArticleDOI
15 Oct 2007
TL;DR: In this article, a sensorless on-line monitoring technique for detecting and classifying stator turn faults and high-R electrical connections in induction machines based on the zero sequence voltage or negative sequence current measurements is proposed.
Abstract: The goal of stator winding turn fault detection is to detect the fault at an early stage, and shut down the machine immediately to prevent catastrophic motor failure due to the large fault current. A number of turn fault detection techniques have been proposed; however, there is currently no method available for distinguishing turn faults from high-resistance(R) connections, which also result in 3 phase system asymmetry. It is important to distinguish the two faults since a high-R connection does not necessarily require immediate motor shutdown. In this paper, new sensorless on-line monitoring techniques for detecting and classifying stator turn faults and high-R electrical connections in induction machines based on the zero sequence voltage or negative sequence current measurements are proposed. An experimental study on a 10 hp induction motor performed under simulated turn faults and high-resistance circuit conditions verifies that the two faults can be reliably detected and classified. The proposed technique helps improve the reliability, efficiency, and safety of the motor system and industrial plant, and also allows maintenance to be performed in a more efficient manner since the course of action can be determined based on the type and severity of the fault.

124 citations


Proceedings ArticleDOI
10 Feb 2007
TL;DR: This paper presents an idealized algorithm capable of identifying over 85% of injected faults on the SpecInt suite and over 75% overall and shows that flushing the pipeline every time the hardware screener triggers reduces overall performance by less than 1%.
Abstract: Fault screeners are a new breed of fault identification technique that can probabilistically detect if a transient fault has affected the state of a processor. We demonstrate that fault screeners function because of two key characteristics. First, we show that much of the intermediate data generated by a program inherently falls within certain consistent bounds. Second, we observe that these bounds are often violated by the introduction of a fault. Thus, fault screeners can identify faults by directly watching for any data inconsistencies arising in an application's behavior. We present an idealized algorithm capable of identifying over 85% of injected faults on the SpecInt suite and over 75% overall. Further, in a realistic implementation on a simulated Pentium-III-like processor, about half of the errors due to injected faults are identified while still in speculative state. Errors detected this early can be eliminated by a pipeline flush. In this paper, we present several hardware-based versions of this screening algorithm and show that flushing the pipeline every time the hardware screener triggers reduces overall performance by less than 1%

115 citations


Journal ArticleDOI
TL;DR: In this article, an accurate fault-location algorithm has been obtained for the line-to-line fault as an extension of the author's previous work for line to ground fault location.
Abstract: From a direct three-phase circuit analysis, an accurate fault-location algorithm has been obtained for the line-to-line fault as an extension of the author's previous work for line-to-ground fault location. Robustness of the proposed algorithm to load impedance uncertainty is enhanced by the introduction of impedance compensation using voltage and current measurements. Simulation results show a high degree of accuracy and robustness to load uncertainty.

105 citations


Journal ArticleDOI
TL;DR: In this article, a fault-location algorithm for three-terminal power lines with current differential relays is presented. But the fault estimation is performed using three-phase current from all three terminals and additionally threephase voltage from the terminal at which a fault locator is installed.
Abstract: This paper presents a new method for locating faults on three-terminal power lines. Estimation of a distance to fault and indication of a faulted section is performed using three-phase current from all three terminals and additionally three-phase voltage from the terminal at which a fault locator is installed. Such a set of synchronized measurements has been taken into consideration with the aim of developing a fault-location algorithm for applications with current differential relays of three-terminal lines. The delivered fault-location algorithm consists of three subroutines designated for locating faults within particular line sections and a procedure for indicating the faulted line section. Testing and evaluation of the algorithm has been performed with fault data obtained from versatile Alternate Transients Program-Electromagnetic Transients Program simulations. The sample results of the evaluation are reported and discussed.

100 citations


Proceedings ArticleDOI
02 Apr 2007
TL;DR: In this article, the effect of local induction generators on protection selectivity in a system with parallel distribution feeders and the effect on fault detection is discussed. But, the authors do not consider the impact of the relay settings on the fault detection performance.
Abstract: The application of local generators in distribution grids has consequences for the protection system. In this paper, protection issues concerning distributed generation are discussed, followed by a discussion of the results of simulations. These simulations concern the effect of local induction generators on protection selectivity in a system with parallel distribution feeders and the effect on fault detection. It is found that local induction generator can pose a selectivity problem in a system with parallel feeders when a line-to-line fault or a double line-to-ground fault occurs. Regarding the fault current detected at the beginning of a feeder, detected current is lowest when a line-to-line fault or a line-to-ground fault occurs. Depending on the relay settings, this can result in a detection problem.

83 citations


Journal ArticleDOI
TL;DR: An on-line particle-filtering-based framework for failure prognosis in nonlinear, non-Gaussian systems using a nonlinear state-space model of the plant and a particle filtering algorithm to estimate the probability density function of the state in real-time.
Abstract: This paper introduces an on-line particle-filtering-based framework for failure prognosis in nonlinear, non-Gaussian systems. This framework uses a nonlinear state-space model of the plant (with unknown time-varying parameters) and a particle filtering (PF) algorithm to estimate the probability density function (pdf) of the state in real-time. The state pdf estimate is then used to predict the evolution in time of the fault indicator, obtaining as a result the pdf of the remaining useful life (RUL) for the faulty subsystem. This approach provides information about the precision and accuracy of long-term predictions, RUL expectations, and 95% confidence intervals for the condition under study. Data from a seeded fault test for a UH-60 planetary carrier plate are used to validate the proposed methodology.

83 citations


Proceedings ArticleDOI
26 Sep 2007
TL;DR: A high level fault model has been proposed in this paper to model switch routing faults and the proposed method is evaluated by fault simulation that is based on the high-level fault model.
Abstract: This paper presents an efficient method for online testing of NoC switches. This method deals with control faults of NoC switches; i.e. the routing faults which cause NoC packets to be sent to output ports not intended to. A high level fault model has been proposed in this paper to model switch routing faults. The proposed method is evaluated by fault simulation that is based on our high-level fault model. This simulation and evaluation environment is modeled at the transaction level in VHDL.

Journal ArticleDOI
TL;DR: This paper describes the fault location algorithm using neuro-fuzzy systems in combined transmission lines with underground power cables, which shows excellent results for discrimination of fault section and calculation of fault location.

Patent
31 Oct 2007
TL;DR: In this article, the authors present a method for detecting arc faults on a power line, which is based on monitoring power signals associated with the power line and filtering the power signals to produce a high frequency signal and a low frequency signal.
Abstract: In accordance with one aspect the present disclosure is directed toward a method for detecting arc faults on a power line. The method may include monitoring power signals associated with a power line and filtering the power signals to produce a high frequency signal and a low frequency signal. A mask signal may generated based on the low frequency signal, and the high frequency signal may be analyzed to extract a broadband portion of the high frequency signal. A fault counter may be incremented if the magnitude of the broadband portion is approximately greater than a first threshold level. A fault counter may be decremented if the magnitude of the broadband portion is approximately less than the first threshold level. A trip signal is provided to a switching device associated with the power line if the fault counter exceeds a predetermined fault limit.

Journal ArticleDOI
TL;DR: The article considers constant actuator faults, which arise when the actuator output is stuck at some fixed value, and a novel idea which entails controller design for fault isolation is proposed.
Abstract: Adaptive actuator fault detection, isolation, and accommodation problems for linear multi-input single-output (MISO) systems with unknown system parameters are investigated. To solve the detection problem, we construct an adaptive estimate of the output signal. By comparing it with the output of the system, any type of actuator faults can be detected. However, the fault isolation problem is much more complicated. In order to solve it using an adaptive approach, the article considers constant actuator faults, which arise when the actuator output (such as a valve) is stuck at some fixed value. A novel idea which entails controller design for fault isolation is proposed. Thus, the controller in this case is not only designed to meet the control objective, but also to help with fault isolation, in case of an actuator failure. To accomplish this, assuming that there are m inputs, a group of additive functions, called fault isolation design functions in m − 1 inputs, solely used for fault isolation, are introdu...

Proceedings Article
01 Dec 2007
TL;DR: In this paper, the authors described the application of an artificial neural network (ANN) based algorithm with modular structure to the fault classification and location of a single-circuit high voltage transmission line.
Abstract: This paper describes the application of an artificial neural network (ANN) based algorithm with modular structure to the fault classification and location of a single-circuit high voltage transmission line Different fault types containing single-phase to ground, two-phase, two-phase to ground and three-phase are considered The variation of fault resistance is considered, too The operation of proposed strategy is not dependent on fault inception angle (FIA) A new classification method is proposed for decreasing of training time and dimensions of ANN Using the proposed method, high accuracy of fault classification is achieved Fundamental component of pre-fault and post-fault positive sequence component of currents and voltages of three phases have been used as inputs to proposed ANN The output of the ANN is the estimated fault location A two machine power system model is simulated by PSCAD/EMTDC to obtain the mentioned voltages and currents values The neural network toolbox of MATLAB is used for training and testing of ANN

Journal ArticleDOI
TL;DR: In this paper, a new methodology based on the superposition of voltage signals of a certain frequency was proposed for the detection of low current single phase faults in radial distribution systems, which can be used for any type of grounding method.
Abstract: In electrical distribution systems, low current faults may be caused by a high impedance fault or by the fault current limitation caused by the neutral to ground connection. In the former case, an indirect contact or insulation degradation give a high value of the fault impedance. In the latter, the neutral grounding may be either isolated or compensated. Nevertheless, these types of faults do not produce enough current so that the traditional overcurrent relays or fuses are not able to detect the fault. This paper presents a new methodology, based on the superposition of voltage signals of certain frequency, for the detection of low current single phase faults in radial distribution systems. The simulation analysis and laboratory tests carried out have proved the validity of the methodology for any type of grounding method.

Journal ArticleDOI
TL;DR: The study proposes statistical methods for both the single and dual fault injection campaigns and demonstrates the fault-tolerant capability of both processors in terms of fault latencies, the probability of fault manifestation, and the behavior of latent faults.
Abstract: This paper presents a detailed analysis of the behavior of a novel fault-tolerant 32-bit embedded CPU as compared to a default (non-fault-tolerant) implementation of the same processor during a fault injection campaign of single and double faults. The fault-tolerant processor tested is characterized by per-cycle voting of microarchitectural and the flop-based architectural states, redundancy at the pipeline level, and a distributed voting scheme. Its fault-tolerant behavior is characterized for three different workloads from the automotive application domain. The study proposes statistical methods for both the single and dual fault injection campaigns and demonstrates the fault-tolerant capability of both processors in terms of fault latencies, the probability of fault manifestation, and the behavior of latent faults.

Patent
21 Jun 2007
TL;DR: In this article, an integrated controller for controlling a vapor compression based heating and cooling system is presented. The integrated controller includes modules for independently controlling dry bulb temperature, humidity level, and incorporating a fault detection module therewith.
Abstract: An integrated controller for controlling a vapor compression based heating and cooling system. The integrated controller includes modules for independently controlling dry bulb temperature, humidity level, and incorporating a fault detection module therewith. The fault detection module being capable of detecting abnormal refrigerant levels using only temperature sensors on the condenser with thermal expansion valve or evaporator with fixed orifice type of expansion valve.

01 Jan 2007
TL;DR: In this article, a new fault detection scheme for voltage fed inverter to improve the reliability of power electronic system, which is of paramount importance in the wide industrial applications, is presented.
Abstract: This paper presents a new fault detection scheme for voltage fed inverter to improve the reliability of power electronic system, which is of paramount importance in the wide industrial applications. The proposed method is achieved by using voltage across lower switches in each phase under the switch fault condition. The reconfiguration method is achieved by the four-switch topology connecting a faulty leg to the middle point of DC-link using bidirectional switches. The proposed method has a simple algorithm and fast fault detection time. Therefore, normal operation of the system after faults is continuously achieved by reconfiguration of system topology. The superior performance of the proposed fault detection and tolerance method are proved by simulation.

Proceedings ArticleDOI
02 Apr 2007
TL;DR: A comprehensive fault tolerant mechanism for packet based NoCs to deal with packet losses or corruption due to transient faults as well as a dynamic routing mechanism todeal with permanent link and/or router failure on-chip is proposed.
Abstract: Network on chips (NoC) have emerged as a feasible solution to handle growing number of communicating components on a single chip. The scalability of chips however increases the probability of errors, hence making reliability a major issue in scaling chips. We hereby propose a comprehensive fault tolerant mechanism for packet based NoCs to deal with packet losses or corruption due to transient faults as well as a dynamic routing mechanism to deal with permanent link and/or router failure on-chip

Patent
21 Nov 2007
TL;DR: In this article, a system and a method for rapidly diagnosing bugs of system software are apply for rapidly localizing a system program fault that causes a system error and then feeding back to a subscriber.
Abstract: A system and a method for rapidly diagnosing bugs of system software are apply for rapidly localizing a system program fault that causes a system error and then feeding back to a subscriber. First, according to the subscriber's requirement, a program of system fault analysis standard is preset and written into the system. Next, a plurality of fault insertion points is added into a program module of the system according to the subscriber's requirement for the precision of the fault analysis result. Then, fault management information is generated at the fault insertion points during the running process of the system program, and the management information is monitored for collecting relevant system fault data. After that, the collected system fault data is analyzed in real time through the program of system fault analysis standard, so as to obtain the minimum fault set for causing the system error.

Journal ArticleDOI
TL;DR: In this paper, a WAMS (wide-area measurement/monitoring system)/PMU (phasor measurement unit)-based fault location technique is proposed, which uses synchronized fault voltages of two nodes of the faulted line and their neighboring nodes for fault location.

Journal ArticleDOI
TL;DR: In this paper, a fault detection and location estimation method based on wavelet transform was proposed for fault protection on parallel transmission lines using the least square error (LSE) method.

Journal ArticleDOI
TL;DR: Simulation results indicate that the integration exemplifies the advantages of both techniques and that the integrated solution has much better performance in different system conditions compared to distance relay.

Journal ArticleDOI
01 Mar 2007
TL;DR: A method for diagnosing faults in systems using FTA to explain the deviations from normal operation observed in sensor outputs is presented and the concepts of this method are illustrated by applying the technique to a simplified water tank level control system.
Abstract: Over the last 50 years, advances in technology have led to an increase in the complexity and sophistication of systems. More complex systems can be harder to maintain and the root cause of a fault more difficult to isolate. Downtime resultin from a system failure can be dangerous or expensive, depending on the type of system. In aircraft systems the ability to diagnose quickly the causes of a fault can have a significant impact on the time taken to rectify the problem and to return the aircraft to service. In chemical prcess plants the need to diagnose causes of a safety-critical failure in a system can be vital and a diagnosis may be required within minutes. Speed of fault isolation can save time, reduce costs, and increase company productivity and therefore profits. System fault diagnosis is the process of identifying the cause of a malfunction by observing its effect at various test points. Fault tree analysis (FTA) is a method that describes all possible causes of a specified system state in terms of the state of the components within the system. A system model is used to identify the states that the system should be in at any point in time. This paper presents a method for diagnosing faults in systems using FTA to explain the deviations from normal operation observed in sensor outputs. The causes of a system’s failure modes will be described in terms of the component states. This will be achieved with the use of coherent and non-coherent fault trees. A coherent fault tree is constructed from AND and OR logic and therefore considers only component-failed states. The non-coherent method expands this, allowing the use of NOT logic, which implies that the existence of component-failed states and component-working states are both taken into account. This paper illustrates the concepts of this method by applying the technique to a simplified water tank level control system.

Journal ArticleDOI
TL;DR: A new approach that deduces the fault direction based on the traveling-wave directional principle by means of wavelet transforms decomposes the voltage signals and calculates the spectral energy at selected detail which allows detecting the fault and to choose the phase to make the slope change analysis.
Abstract: This paper presents a new approach that deduces the fault direction based on the traveling-wave directional principle. The algorithm by means of wavelet transforms decomposes the voltage signals and calculates the spectral energy at selected detail which allows detecting the fault and to choose the phase to make the slope change analysis. This analysis provides a clear indication of fault direction from the comparison of the slope change polarities of the voltage and current at selected phase during quite a short time. The algorithm, which belongs to the ultra-high-speed protecting relaying, is able to discriminate the direction for all kinds of faults and is not affected by the change of the fault angle inception.

Proceedings ArticleDOI
08 Oct 2007
TL;DR: In this paper, a fault dictionary based scan chain failure diagnosis technique is presented, which is up to 130 times faster with the same level of diagnosis accuracy and resolution compared with fault simulation based diagnosis technique.
Abstract: In this paper, we present a fault dictionary based scan chain failure diagnosis technique. We first describe a technique to create small dictionaries for scan chain faults by storing differential signatures. Based on the differential signatures stored in a fault dictionary, we can quickly identify single stuck-at fault or timing fault in a faulty chain. We further develop a novel technique to diagnose some multiple stuck-at faults in a single scan chain. Comparing with fault simulation based diagnosis technique, the proposed fault dictionary based diagnosis technique is up to 130 times faster with same level of diagnosis accuracy and resolution.

Proceedings ArticleDOI
20 Sep 2007
TL;DR: What factors are used by developers to decide whether or not to address a fault when notified of the error are explored to lead to several conjectures about the design of AFD tools to effectively notify developers of faults in the coding phase.
Abstract: The longer a fault remains in the code from the time it was injected, the more time it will take to fix the fault. Increasingly, automated fault detection (AFD) tools are providing developers with prompt feedback on recently-introduced faults to reduce fault fix time. If however, the frequency and content of this feedback does not match the developer's goals and/or workflow, the developer may ignore the information. We conducted a controlled study with 18 developers to explore what factors are used by developers to decide whether or not to address a fault when notified of the error. The findings of our study lead to several conjectures about the design of AFD tools to effectively notify developers of faults in the coding phase. The AFD tools should present fault information that is relevant to the primary programming task with accurate and precise descriptions. The fault severity and the specific timing of fault notification should be customizable. Finally, the AFD tool must be accurate and reliable to build trust with the developer.

Proceedings ArticleDOI
24 Jun 2007
TL;DR: In this paper, a model-based fault diagnostics for the power electronics inverter based induction motor drives is presented, where voltages and current signals are generated from those models to train an artificial neural network for fault diagnosis.
Abstract: This paper presents research in model based fault diagnostics for the power electronics inverter based induction motor drives. A normal model and various faulted models of the inverter-motor combination were developed, and voltages and current signals were generated from those models to train an artificial neural network for fault diagnosis. Instead of simple open-loop circuits, our research focuses on closed loop circuits. Our simulation experiments show that this model-based fault diagnostic approach is effective in detecting single switch open-circuit faults as well as post-short-circuit conditions occurring in power electronics inverter based electrical drives.

Patent
24 Oct 2007
TL;DR: In this article, a cable fault detection component (168) receives input data indicative of a fault in an electrical power system and analyzes the input data to determine if the fault is indicative of self-clearing cable fault and generates corresponding output data.
Abstract: A cable fault detection component (168) receives input data indicative of a fault in an electrical power system. The component (168) analyzes the input data to determine if the fault is indicative of a self-clearing cable fault and generates corresponding output data (276). In one implementation, the cable fault detection component (168) is implemented as a software module which operates on a computer (105) of a substation intelligence system (104).