scispace - formally typeset
Journal ArticleDOI

A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications

Reads0
Chats0
TLDR
In this paper, a data-dependent power-supply mechanism for a new 11T SRAM cell is proposed with ultra-low leakage and improved read/write stability against the process-voltage-temperature variations.
Abstract
With the increased requirement of on-chip data computations in internet of things based applications, the embedded on-chip SRAM memory has been under its renovation stage to overcome the classical problems like stability and poor energy efficiency. In this work, a data-dependent-power-supply mechanism for a new 11T SRAM cell is proposed with ultra-low leakage and improved read/write stability against the process–voltage–temperature variations. The proposed cell consumes static power in the fraction of picowatt range and has considerable enhancement in the value of write static noise margin (WSNM). In addition, the use of associated read decoupling approach, with the column-based read buffer, further improves the read stability of the proposed cell and make it comparable with the hold stability value. The percentage reduction in the leakage power of proposed 11T cell is $$99.97\%$$ , $$99.93\%$$ and $$99.97\%$$ , while the WSNM 1 is $$6.98\times$$ , $$3.12\times$$ and $$1.46\times$$ , and WSNM 0 is $$5.55\times$$ , $$1.25\times$$ and $$1.16\times$$ larger when operating at 0.4 V and compared to the conventional 6T and threshold voltage techniques based VTH_9T and data aware write assist (DAWA) 12T SRAM cell structures respectively. $$I_{read}{/}I_{leak}$$ ratio for the proposed cell has improved by $$6.55\times$$ , $$6.22\times$$ and $$5.11\times$$ when compared with the 6T, VTH_9T and DAWA12T SRAM to increase the memory density. Further, the post-layout Monte Carlo simulation results (2000 samples) confirm the robustness of the proposed cell against the process variations.

read more

Citations
More filters
Journal ArticleDOI

Design of a Stable Low Power 11-T Static Random Access Memory Cell

TL;DR: A 11-T static random-access memory cell has been examined that shows a fair reduction in read power dissipation while upholding the stability and moderate performance.
Journal ArticleDOI

A Review on Performance Evaluation of Different Low Power SRAM Cells in Nano-Scale Era

TL;DR: A detailed review on various SRAM cell topologies has been performed which includes comparative analysis of design parameters and challenges and it is worthy to notice that 9TSRAM cell has highest value of read stability among considered cells.
Journal ArticleDOI

A single-ended low leakage and low voltage 10T SRAM cell with high yield

TL;DR: This paper presents a low leakage power 10T single-ended SRAM cell in the sub-threshold region that improves read, write, and hold stability and is found to have the lowest static power dissipation.
Journal ArticleDOI

Design of Low Power Half Select Free 10T Static Random-Access Memory Cell

TL;DR: This paper presents a circuit-level technique of designing a low power and half select free 10T Static Random-Access Memory Cell (SRAM) that works with single end read operation and differential write operation and supports bit interleaving format.
References
More filters
Journal ArticleDOI

Static-noise margin analysis of MOS SRAM cells

TL;DR: In this article, the stability of both resistor-load (R-load) and full-CMOS SRAM cells is investigated analytically as well as by simulation, and explicit analytic expressions for the static-noise margin (SNM) as a function of device parameters and supply voltage are derived.
Book

Sub-threshold Design for Ultra Low-Power Systems

TL;DR: The EKV Model of the MOS Transistor is used as a model for low-voltage circuit design and analog Circuits in Weak Inversion are studied.
Journal ArticleDOI

A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS

TL;DR: A differential 10T bit-cell that effectively separates read and write operations, thereby achieving high cell stability and provides efficient bit-interleaving structure to achieve soft-error tolerance with conventional Error Correcting Codes (ECC).
Journal ArticleDOI

A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM

TL;DR: A novel Schmitt trigger (ST) based differential 10-transistor SRAM (static random access memory) bitcell suitable for subthreshold operation and does not require any architectural changes from the present 6T architecture is proposed.
Journal ArticleDOI

A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy

TL;DR: A high density SRAM in 65 nm CMOS that uses an 8T bit-cell to achieve a minimum operating voltage of 350 mV, and the plaguing area-offset tradeoff in modern sense-amplifiers is alleviated using redundancy.
Related Papers (5)