scispace - formally typeset
Open AccessProceedings ArticleDOI

Evaluation of EUV resist performance below 20nm CD using helium ion lithography

TLDR
In this paper, the authors used a sub-nanometer-sized 30 keV helium ion beam to expose chemically amplified (CAR) EUV resists and showed that SHIBL can be a useful and economically attractive technology to pre-screen novel CAR resists prior to their final performance evaluation in an EUV scanner.
Abstract
For the introduction of EUV lithography, development of high performance EUV resists is of key importance. This development involves studies into resist sensitivity, resolving power and pattern uniformity. We have used a sub-nanometer-sized 30 keV helium ion beam to expose chemically amplified (CAR) EUV resists. There are similarities in the response of resists to He+ ions and EUV photons: both excite Secondary Electrons with similar energy distributions. The weak backscattering of the He+ ions results in ultra-low proximity effects. This fact enables the exposure of dense and detailed patterns by focused He+ ion beams without the need for proximity correction. This paper presents contact holes and lines at 40-nm pitch in an EUV CAR resist. We have used resist sensitivity, contrast, resolution (CD) and pattern fidelity (LCDU, LWR and dose-to-print) as metrics for a comparison of SHIBL with EUVL. We show that Scanning Helium Ion Beam Lithography (SHIBL) can be a useful and economically attractive technology to (pre-)screen novel EUV resists prior to their final performance evaluation in an EUV scanner. © 2014 SPIE.

read more

Content maybe subject to copyright    Report

Evaluation of EUV resist performance below 20-nm CD using
helium ion lithography
Diederik Maas*
a
, Emile van Veldhoven
a
, Anja van Langen–Suurling
b
, Paul F.A. Alkemade
b
,
Sander Wuister
c
,
Rik Hoefnagels
c
, Coen Verspaget
c
, Jeroen Meessen
c
and Timon Fliervoet
c
a
TNO, Stieltjesweg 1, Delft, The Netherlands;
b
Kavli Institute of Nanoscience, Delft University of
Technology, Lorentzweg1, Delft, The Netherlands;
c
ASML, De Run 6665, Veldhoven, The
Netherlands
ABSTRACT
For the introduction of EUV lithography, development of high performance EUV resists is of key importance. This
development involves studies into resist sensitivity, resolving power and pattern uniformity. We have used a sub-
nanometer-sized 30 keV helium ion beam to expose chemically amplified (CAR) EUV resists. There are similarities in
the response of resists to He
+
ions and EUV photons: both excite Secondary Electrons with similar energy distributions.
The weak backscattering of the He
+
ions results in ultra-low proximity effects. This fact enables the exposure of dense
and detailed patterns by focused He
+
ion beams without the need for proximity correction. This paper presents contact
holes and lines at 40-nm pitch in an EUV CAR resist. We have used resist sensitivity, contrast, resolution (CD) and
pattern fidelity (LCDU, LWR and dose-to-print) as metrics for a comparison of SHIBL with EUVL. We show that
Scanning Helium Ion Beam Lithography (SHIBL) can be a useful and economically attractive technology to (pre-)screen
novel EUV resists prior to their final performance evaluation in an EUV scanner.
Keywords: Lithography, EUV lithography, Scanning Helium Ion Beam Lithography, EUV resist characterization,
Helium Ion Microscope, Proximity effect, Energy transfer
1. INTRODUCTION
For the introduction of EUV lithography, development of high performance EUV resists is of key importance. This
development involves studies into sensitivity, resolving power and pattern uniformity [1-3]. We have used a sub-
nanometer-sized 30 keV helium ion beam to expose chemically amplified (CAR) EUV resists. As is shown in Figure 1
the shape of the large area dose-response curve is highly similar for EUV and 30 keV helium ion exposure. Yet there is a
large difference in the required number of primary particles: we have observed that a single 30 keV helium ion is as
effective as 150 EUV photons. This is attributed mainly to the fact that an EUV photon interacts only once (or not at all)
with the resist, whereas a helium ion scatters inelastically many times while traversing the resist, and often releases one
or more SEs in each collision.
The absence of proximity effects is a clear advantage of SHIBL over Electron Beam Lithography (EBL) [4-6], although
today EBL is often used to pre-screen EUV resist [7]. EUV interference lithography is another tool in resist resolution
and sensitivity studies [8]. SHIBL potentially complements this technology by offering a more flexible pattern design,
enabling the study of corners, edges, elbows and contact holes and even arbitrary patterns that might occur in chip
designs [9].
This paper presents exposures of contact holes and lines-and-spaces with a Critical Dimension (CD) of 8 – 30 nm at 40
nm pitch in a state-of-the-art chemically amplified EUV resist of type A using 30 keV He
+
ions. We compare these
results with EUV Lithography (EUVL) exposures performed with ASML’s NXE: 3300B scanner on a similar CAR
resist of type B. We use resist sensitivity, contrast, resolution (CD) and pattern fidelity (LCDU, LWR and dose-to-print)
as metrics for a comparison of SHIBL with EUVL.
We show that SHIBL can be a useful and economically attractive technology to pre-screen novel EUV resists prior to
their final performance evaluation in an EUV scanner.
*diederik.maas@tno.nl; phone +31 888 666 524; www.tno.nl
Extreme Ultraviolet (EUV) Lithography V, edited by Obert R. Wood II, Eric M. Panning, Proc. of SPIE
Vol. 9048, 90482Z · © 2014 SPIE · CCC code: 0277-786X/14/$18 · doi: 10.1117/12.2046917
Proc. of SPIE Vol. 9048 90482Z-1
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/11/2014 Terms of Use: http://spiedl.org/terms

2.1. Exposu
r
Besides som
e
types of
p
ri
m
scattering of
p
rimary bea
m
compensatio
n
EUVL the
pa
(SHIBL) ele
magnitude s
l
exposing rel
a
width rough
n
mrad) suppo
r
Figure 1
L
is 12.8 p
h
uC cm
-2
2.2. Resist a
To provide
s
cascade of e
v
classical opt
i
generated S
e
dissociates i
n
it can be not
e
Ty
p
Sec
o
hig
h
cre
a
resi
s
Fas
t
b
ea
m
After the int
e
in almost th
e
Figure 1 sh
o
curves are s
i
photons nm
-
2
resist modifi
c
r
e of fine and
e
differences,
t
m
ary particle
the He
+
ions r
e
m
type, the
p
n
for
p
roximi
t
a
ttern is proje
c
ctrostatic bea
m
l
ower. The s
e
a
tively small
a
n
ess). Finally,
r
ts well-focus
e
L
arge-area dos
e
h
otons nm
-2
, i.e.
o
r 41 mJ cm
-2
, t
h
ctivation
s
ome insight i
n
v
ents when a
p
i
cal lithograp
h
e
condary Elec
n
to a mobile
H
e
d that the res
p
p
ically, the ab
s
o
ndary Electr
o
h
-energy core
e
a
tes typically
2
s
t molecules [
1
t
, light ions in
m
of 30-keV
H
e
raction with a
e
same directio
n
o
ws the large-
a
i
milar, sugges
t
2
and 0.085 H
e
c
ation.
dense patter
n
t
here are rema
r
beams travers
e
sults in ultra-
l
p
rojection of
t
y effec
t
s, e.g.
c
ted on the res
i
m
deflection i
s
e
rial approach
a
reas, e.g. to i
n
the large dep
t
e
d projection o
f
e
-response curve
18 mJ cm
-2
, th
e
h
e contrast is 2.
H
n
the (dis)simi
l
p
rimary partic
l
h
y in the sense
tron (SE) tra
v
H
+
ion and an i
m
p
ective energy
s
orption of an
o
ns (SEs). An
e
lectron with
a
2
-8 SEs, each
1
1, 12].
matter lose th
e
H
e
+
ions produ
c
resist atom, t
h
n
, but at a slig
h
a
rea dose-resp
o
t
ing a similar
r
e
+
nm
-2
respe
c
2. RES
I
n
s on EUV res
r
kable similari
e the resist
w
l
ow proximity
the desired e
x
by computat
i
i
st using a
p
at
t
s
used to sca
n
of SHIBL
pr
n
vestigate fun
d
t
h-of-field of
t
f
the
p
attern o
n
s for EUV CA
R
e
contrast is 3.
T
H
e
+
ions are ap
p
l
arities betwe
e
l
e interacts wi
t
that the resis
t
v
els some dis
t
m
mobile anio
n
spectra are re
m
EUV photon
g
EUV photon
c
a
kinetic energ
with an energ
y
e
ir energy mai
n
c
es many SEs,
h
e EUV photo
n
h
tly lower ene
r
o
nse curve fo
r
r
esist activati
o
c
tively. Hence,
I
ST ACTIVA
T
ists
ties in the res
p
w
hile interacti
n
effects, whic
h
x
posure patte
r
i
onal lithogra
p
t
erned mask,
w
n
the beam o
v
r
ohibits high-
v
d
amental
p
rop
e
t
he helium be
a
n
the resist [10
R
resist A expos
e
T
he He
+
ion dos
e
p
roximately 150
e
n EUV and h
e
t
h the resist.
A
t
activation st
a
t
ance until it
n
. When comp
a
m
arkably alike
:
g
enerates a hi
g
c
arries ~92 e
V
y between 60
-
y
of 6-9 eV.
A
n
ly by electro
n
most of them
w
n
is gone, whe
r
r
gy.
r
92-eV EUV
o
n mechanism
.
150 times le
s
T
ION
p
onse of resist
s
n
g with the re
h
is similar to
E
r
n is relativel
y
p
hy and the li
k
w
hereas in Sca
n
v
er the resist,
v
olume produ
c
e
rties of a resi
s
a
m (given the
].
e
d to EUV and
3
e
-to-clear is 0.0
8
more effective
t
e
lium ion exp
o
A
ccording to
K
a
rts with core-
s
is captured b
a
ring the SE g
e
:
g
h-energy elec
t
V
of ene
r
gy. A
b
-
75 eV. This e
l
A
significant
f
n
ic excitations
w
ith energies
l
r
eas the He
+
io
n
and 30-keV
H
.
The dose-to-
c
s
s ions than E
U
s
to He
+
ions a
n
sist and subs
t
E
UV exposure
s
y
easily achi
e
k
e. A principa
l
n
ning Helium
an approach
t
c
tion. Yet, thi
s
t like sensitiv
beam angle
o
3
0-keV He
+
. Th
e
8
5 ions nm
-2
, w
h
t
han EUV photo
n
o
sure, we will
K
ozawa [11],
E
s
hell ionizatio
n
y an acid ge
n
e
nerating
p
roc
e
t
ron that relax
b
sorption by a
l
ectron diffuse
f
raction of the
s
and ionizatio
n
l
ess than 10 e
V
n
continues it
s
H
e
+
ion expos
u
c
lear for EU
V
U
V photons a
r
n
d EUV phot
o
t
rate atoms. T
h
s
. As a result,
f
e
ved without
l
difference is
Ion Beam Lit
h
t
hat is many
o
s is not criti
c
ity, resolution
o
f typically le
s
e
EUV dose-to-
c
h
ich is equal to
n
s.
b
riefly summ
a
E
UVL is differ
e
n
of a resist a
t
n
erator, whic
h
e
sses for He
+
a
es by the exci
target atom r
e
s a few nano
m
s
e SEs activat
e
n
s. As a conse
q
V
[13].
s
way through
t
u
res. The shap
e
V
CAR A is 1
2
r
e needed for
t
o
ns. Both
h
e weak
f
or either
complex
that for
h
ography
o
rders of
c
al when
and line
s
s than 1
c
lear
1.36
a
rize the
e
nt from
t
om. The
h
in turn
a
nd EUV
tation of
e
leases a
m
eter and
e
s acidic
q
uence, a
t
he resist
e
s of the
2
.8 EUV
t
he same
Proc. of SPIE Vol. 9048 90482Z-2
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/11/2014 Terms of Use: http://spiedl.org/terms

Target Ionization
Total Ionization = 26.7 keV / Ion
Total Phonons = 2.9 keV / Ion
Total Target Damage = 0.31 keV / Ion
IONIZATION
IO RECOILS
0 A
,-.4e
r.
.
_
tyw"?h
Jt
--$
.
.
.
gsyy
}
t',
'!^; fii :e ^wV
i..:
'
.f.f:_!.
!K9
;< .0
fft¡tI + p ) jl
.'
'. :(,..-4.,'
Ay;' ^
0
#{
l`trn:
,Yt3s
41
r..
.rnlr
I
.
., .:. ,n
^á.
V
`
.
'
w. lÌgiA' ,,; Q;°:°.
4
A
s
rAí,
St
r..
JR4::=e
f, ° r
: .
wIji
af(VrN
á : ,'
..
P
,ÿ
.-i h
¡y+Wy. j.!j.
> '
T:Ayr.
y
f
.
S.
A í
eF ,
V,..,e7.,--,--
1+
- Target Depth
10
2
q
0
0
2500 A t;
M
300 ions
2.3. Amount of SEs released in the resist per EUV photon and per He
+
ion
Kozawa and Tagawa [11] attribute an important role to SEs in the resist exposure mechanism. Here we estimate for our
experiment the number of SEs generated in the resist layer per photon and per 30-keV He
+
ion. First the EUV CAR resist
A is analyzed by X-ray photoelectron spectrometry (XPS). The recorded XPS spectrum was analyzed with respect to the
actual resist composition, assuming 1.5 hydrogen atoms per carbon atom. The SE generation is quantified using the
interactions of EUV photons and 30-keV He
+
ions with the resist.
An EUV CAR resist layer of 40 nm absorbs approximately 15% of the 92-eV EUV photons [14]. The dissipated energy
density at dose-to-clear is calculated to be approximately 180 eV nm
-2
. The average SE yield per absorbed EUV photon
is still a topic of debate [12,15]. Reported values lie between 2 and 8, on average corresponding to the release of 0.15 x
12.8 x ~4 8 SEs nm
-2
at dose-to-clear.
Figure 2 shows the energy loss of 30 keV He
+
ions by ionizations as computed using SRIM [16]. The average loss per
ion in the 40-nm thick EUV CAR resist layer is 3.6 keV, predominantly by ionizations. Assuming an average SE energy
of 10 eV
1
[13], each He
+
ion generates approximately 360 secondary electrons in the resist. At 0.085 He
+
ions nm
-2
, the
average ionization energy is thus 306 eV nm
-2
. This corresponds to ~30 SEs nm
-2
at dose-to-clear. Similar numbers for
generated SEs from He
+
ions are reported earlier [6], and studied in more detailed by Monte Carlo modeling [17].
Recently, Monte Carlo modeling by Torok et al. [12] showed that the efficacy of resist activation depends strongly on
the specific energy of a low-energy electron. The factor of 4 discrepancy in the estimated number of involved SEs
between SHIBL and EUVL is regarded to be within the uncertainty. In conclusion, it is stated that the resist is activated
by the low-energy SEs and not by the 30-keV He
+
ions directly. Therefore, we postulate that SHIBL and EUVL record
similar resist exposure processes.
Figure 2 Ionization in the resist as computed by SRIM, for 30-keV He
+
ions. The inset shows the energy loss through
ionization (red) and recoil (blue) as a function of depth.
1
Petrov and Vyvenko [13] have reported an average SE energy of 6eV measured in the vacuum. As the SE has
overcome the work function of the target material, which typically is 4eV, we conclude that the SEs in the resist have on
average ~10 eV kinetic energy.
Proc. of SPIE Vol. 9048 90482Z-3
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/11/2014 Terms of Use: http://spiedl.org/terms

3. EXPERIMENTAL
A 40-nm thick EUV CAR resist of type A was spin-coated on a Si wafer. The Si wafer was coated with a monolayer of
HMDS as a primer to prevent resist delamination during development.
The EUV CAR A SHIBL exposures were performed on a Zeiss Orion Plus™ Helium Ion Microscope (HIM) at the TNO
Van Leeuwenhoek Laboratory (VLL) in Delft. The HIM was set to 30-keV beam energy. The beam was focused at a
working distance of 7 mm with the 5-um beam-limiting aperture. The resulting beam angle spread is less than 1 mrad,
yielding a probe size d
50
of 0.7 nm and a depth-of-field larger than 0.5 μm [10]. A pattern generator (Raith Elphy
MultiBeam) was used for the beam blanking and scanning. The beam current was set to 0.10 pA ± 0.02 pA (1-sigma)
2
.
Point, or contact hole, exposures in arrays of 24x24 at a pitch of 40 nm were made. The exposure time of the arrays was
varied exponentially in steps of 20% from 100 μs to 500 μs per point. Additionally, lines-and-spaces (24 lines at 40-nm,
50-nm, and 60-nm pitch) and large boxes (10 μm by 25 μm) were made in the range of 0.1 to 1 μC cm
-2
. The exposure
dose was varied by adjusting the pixel dwell time. The trenches are written as a single-pixel wide line at 2-nm step size.
The beam step size for the large boxes was also 2 nm. The box exposures were used to determine the dose-response
curve.
The Normalized Image Log Slope (NILS) for the exposed patterns and SHIBL was estimated to exceed 10, indicative of
easy imaging of the pattern on the resist [18].
The EUV CAR resist of type B exposures were performed on an ASML NXE: 3300B, using a Quasar 30 illumination
setting for the contact holes.
The standard CAR resist post-exposure bake (PEB) and the ASML Process Of Record (POR) development recipe have
been applied. There were some differences in the development recipes used at ASML in Veldhoven and at the VLL in
Delft. In the VLL, the post-exposure bake of EUV CAR resist of type A took place at 110 degrees Celsius for 1 minute
on a hot plate (Stuart Digital SD300). At ASML, the EUV CAR of resist type B was processed at a Tokyo Electron
(TEL) wafer track using a development recipe generated by TEL. This consists of generating a puddle while the wafer is
stationary and a rinse while the wafer is rotating, optimized for uniformity. In case of the contact hole exposures and the
contrast curve exposures, a DIW rinse was used, whereas for the lines-and-spaces a surfactenated rinse was used. This
rinse was optimized to reduce pattern collapse of the resist material.
The box exposures were inspected with a Bruker Dektak NXT profilometer.
The contact holes and lines-and-spaces were measured with a Hitachi CD SEM CG-4000, operating at 500-eV beam
energy. The CD of the contact holes and of the lines were obtained from the CD SEM images using the software (SW)
package Terminal PC V8 (Hitachi) with a 50% threshold algorithm.
4. SHIBL EXPOSURES OF HIGH RESOLUTION PATTERNS
4.1. Dense Contact Holes at 40-nm pitch – exposed with SHIBL and EUVL
Figure 3 shows CD SEM images of three dense arrays of point exposure, made in EUV CAR resist of type A using
SHIBL (panel a-c) and in EUV CAR resist of type B using EUVL (panel e). It can be observed that the point exposures
resulted in circular holes, mimicking the dense array pattern for contact holes. The ion dose ranged from 60 – 260 ions
per hole (in 9 steps with a dose-multiplication factor of 1.2). The measured contact hole CD is used to convert the dose-
per-hole to a dose-per-hole-area
3
, yielding 0.62 – 1.17 ion nm
-2
or 10 – 19 μC cm
-2
. The results of the CD analysis are
shown in panel d. The average CD ranges from 12.5 to 19.2 nm, whereas the EUVL contact hole CD is 20.2 nm. Ergo,
with SHIBL we did not reach a 1:1 pitch. At the largest doses, larger fractions of the contact holes were observed to be
too distorted for automated analysis. The SHIBL LCDU increases with dose from 2.7 to 10 nm (3σ), whereas the EUVL
LCDU is 2.9 nm (3σ). The percentage of CHs that meet the criteria of the CD evaluation software ranges from 16% to
100%. Only for doses between 100-180 ions per CH the 95% threshold for a reliable CD measurement is met. Hence,
LCDU values outside this dose range are not regarded as reliable.
2
Note that measurements of such low beam currents are not very accurate. But since the beam current is proportional to
the helium partial pressure in the ion source section [10], we measured the current at a tenfold increased pressure of
10
-5
mbar.
3
Note that the hole area is not proportional to the ion dose-per-hole.
Proc. of SPIE Vol. 9048 90482Z-4
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/11/2014 Terms of Use: http://spiedl.org/terms

Figure 3
at three
d
e shows
a
to-clear
o
4.2. Dense l
i
Similarly to
SHIBL at a
d
dose-
p
er are
a
dense lines-
a
Figure 4 sho
w
resist of typ
e
Therefore th
e
marginally l
a
The LWR is
5.1-nm (3σ)
Figure 5 sho
w
and 50-nm p
lines-and-sp
a
a-c: CD SEM i
m
d
ifferent doses.
P
a
n EUVL expos
u
o
f 13.5 mJ cm
-2
.
i
nes-and-spac
e
the contact h
o
d
ose range be
t
a
, yielding 0.1
a
nd-spaces pat
t
w
s CD SEM i
m
e
A with SHI
B
e
line width (
l
a
rger than the
s
shown in the
r
LWR at 40-n
m
w
s CD SEM i
m
itch in EUV r
e
a
ces at a dose
o
m
ages of arrays
P
anel d shows t
h
u
re of a similar
The contact hol
e
e
s made with
o
les, we have
e
t
ween 2 – 11
i
5 – 0.36 ions
n
t
erns using an
A
m
ages of lines
-
B
L. The trenc
h
l
ef
t
-
b
ottom pa
n
s
mallest conta
c
r
ight bottom p
a
m
pitch at 5.2 i
o
m
ages for 40-
n
e
sist of type B
o
f 42-mJ cm
-2
.
of contact hole
s
h
e CD, LCDU a
n
array of contac
t
e
s in the oute
r
r
o
SHIBL and
E
e
xposed EUV
i
ons/n
m
. The
m
n
m
-2
or 2.3 –
5
A
SML NXE:
3
-
and-spaces w
i
h
width incre
a
n
el) decreases
c
t hole (see pr
e
a
nel. Minimal
o
ns
p
er nm is
a
n
m pitch and g
r
with EUVL.
A
s
at 40-nm pitch
n
d the fraction o
t
holes at EUV
o
ws are smaller
d
E
UVL
CAR resist of
m
easured line
5
.8 μC cm
-2
.
A
3
300B at a dos
i
th 40- and 50-
n
a
ses with ion
d
with ion dose
e
vious section
)
LWR is obse
r
a
ttributed to p
a
r
aphs of the
m
A
m
inimum o
f
in EUV CAR r
e
f contact holes
t
C
AR resist of ty
p
d
ue to proximit
y
type A with
d
width CD is
u
A
lso, EUV C
A
e range betwe
e
n
m pitch (top
a
d
ose, in a sim
i
. The
m
inimu
m
)
. For 40- and
r
ved at a dose
c
a
ttern collapse
m
easured CD a
n
f
3.9 nm (3σ)
L
e
sist of type A
e
t
hat qualified fo
r
p
e B, exposed a
t
y
or interference
d
ense lines-an
d
u
sed to conver
t
A
R resist of ty
p
e
n 32 and 50
m
a
nd center ro
w
i
lar fashion f
o
m
trench widt
h
50-nm pitch a
c
lose to 4 ions
(see e.g. top-r
i
n
d LWR of lin
e
L
WR is obtai
n
e
xposed with S
H
r
size analysis.
P
t
5.3 times the
d
effects.
d
-spaces patte
r
t
, the dose-per
-
p
e B was expo
m
J cm
-2
.
w
, respectively
)
o
r all the three
h
is 14.5 nm,
w
1:1 pitch was
p
er nm. The
o
i
ght panel of F
i
e
s-and-spaces
n
ed for the 50-
n
H
IBL
P
anel
d
ose-
r
ns using
-
nm to a
sed with
)
in EUV
pitches.
w
hich is
reached.
o
utlier of
i
gure 4).
with 40-
n
m pitch
Proc. of SPIE Vol. 9048 90482Z-5
Downloaded From: http://proceedings.spiedigitallibrary.org/ on 07/11/2014 Terms of Use: http://spiedl.org/terms

Citations
More filters
Journal ArticleDOI

Development of Nickel-Based Negative Tone Metal Oxide Cluster Resists for Sub-10 nm Electron Beam and Helium Ion Beam Lithography.

TL;DR: The Ni-MOCs based resist investigated under HIBL and EBL elucidates the ability of its potential for sub-10 nm technology node, under standard processing conditions.
Proceedings ArticleDOI

Helium ion beam lithography (HIBL) using HafSOx as the resist

TL;DR: In this article, a simple Monte Carlo simulation suggests that ionizing excitation accounts for most of the incident He ions' energy loss, which is a negative-tone inorganic resist that is one of several candidate resist materials for extreme ultraviolet lithography and e-beam lithography.
Journal ArticleDOI

Exploring proximity effects and large depth of field in helium ion beam lithography: large-area dense patterns and tilted surface exposure.

TL;DR: The proximity effect in large-area exposures is investigated and HIL's capabilities in fabricating precise high-density gratings on large planar surfaces are demonstrated, including a depth of field greater than 100 μm for a resolution of about 20 nm.
Proceedings ArticleDOI

Impact of pixel-dose optimization on pattern fidelity for helium ion beam lithography on EUV resist

TL;DR: In this article, a heuristic model for scanning helium ion beam lithography (SHIBL) in a EUV chemically amplified resist is presented, which employs a point-spread function to account for all physical and chemical phenomena involved in the resist activation.
Journal ArticleDOI

Functionalized Ag Nanoparticles Embedded in Polymer Resists for High-Resolution Lithography

TL;DR: Extending the resolution limit of next-generation lithography down to 15 nm or below requires the resist attaining small features, high irradiation sensitivity, and low line edge/width roughness.
References
More filters
Journal ArticleDOI

SRIM – The stopping and range of ions in matter (2010)

TL;DR: SRIM as discussed by the authors is a software package concerning the stopping of ion/atom collisions, and individual interatomic potentials have been included for all ion and atom collisions in the SRIM package.
Journal ArticleDOI

X-Ray Interactions: Photoabsorption, Scattering, Transmission, and Reflection at E = 50-30,000 eV, Z = 1-92

TL;DR: In this article, the atomic scattering factors for all angles of coherent scattering and at the higher photon energies are obtained from these tabulated forward-scattering values by adding a simple angle-dependent form-factor correction.
Proceedings ArticleDOI

Resist blur and line edge roughness (Invited Paper)

TL;DR: In this article, a straightforward analytic model of resist line edge roughness is presented which predicts all the known scaling laws as well as the shape of the experimentally seen frequency content or power spectrum of the roughness.
Journal ArticleDOI

Radiation Chemistry in Chemically Amplified Resists

TL;DR: In this article, the authors review the radiation chemistry of materials related to chemically amplified resist materials and discuss the imaging mechanisms from energy deposition to proton migration in resist materials are discussed.
Related Papers (5)
Frequently Asked Questions (11)
Q1. What are the contributions in "Evaluation of euv resist performance below 20-nm cd using helium ion lithography" ?

This paper presents contact holes and lines at 40-nm pitch in an EUV CAR resist. The authors show that Scanning Helium Ion Beam Lithography ( SHIBL ) can be a useful and economically attractive technology to ( pre- ) screen novel EUV resists prior to their final performance evaluation in an EUV scanner. 

Further work in optimization of the experimental conditions of SHIBL and CAR resist processing are ongoing ; it is expected that better uniformity results can be obtained. Hence, SHIBL is a potential economically attractive technology for EUV resist screening on sensitivity, resolution and pattern uniformity. 

The absence of proximity effects is a clear advantage of SHIBL over Electron Beam Lithography (EBL) [4-6], although today EBL is often used to pre-screen EUV resist [7]. 

But since the beam current is proportional to the helium partial pressure in the ion source section [10], the authors measured the current at a tenfold increased pressure of 10-5 mbar. 

In case of the contact hole exposures and the contrast curve exposures, a DIW rinse was used, whereas for the lines-and-spaces a surfactenated rinse was used. 

lines-and-spaces (24 lines at 40-nm, 50-nm, and 60-nm pitch) and large boxes (10 μm by 25 μm) were made in the range of 0.1 to 1 μC cm-2. 

Further work in optimization of the experimental conditions of SHIBL and CAR resist processing are ongoing; it is expected that better uniformity results can be obtained. 

The CD of the contact holes and of the lines were obtained from the CD SEM images using the software (SW) package Terminal PC V8 (Hitachi) with a 50% threshold algorithm. 

The trench eft-bottom pan mallest contac ight bottom pa pitch at 5.2 io ages for 40-nsist of type B f 42-mJ cm-2.of contact holes e CD, LCDU an array of contact s in the outer roSHIBL and E xposed EUV ons/nm. 

The EUV CAR A SHIBL exposures were performed on a Zeiss Orion Plus™ Helium Ion Microscope (HIM) at the TNO Van Leeuwenhoek Laboratory (VLL) in Delft. 

In SHIBL, the LWR is almost independent of the pitch, except for too high ion doses (i.e. where pattern collapse starts to occur).