scispace - formally typeset
Proceedings ArticleDOI

Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers

Norman P. Jouppi
- Vol. 18, pp 364-373
TLDR
In this article, a hardware technique to improve the performance of caches is presented, where a small fully-associative cache between a cache and its refill path is used to place prefetched data and not in the cache.
Abstract
Projections of computer technology forecast processors with peak performance of 1,000 MIPS in the relatively near future. These processors could easily lose half or more of their performance in the memory hierarchy if the hierarchy design is based on conventional caching techniques. This paper presents hardware techniques to improve the performance of caches.Miss caching places a small fully-associative cache between a cache and its refill path. Misses in the cache that hit in the miss cache have only a one cycle miss penalty, as opposed to a many cycle miss penalty without the miss cache. Small miss caches of 2 to 5 entries are shown to be very effective in removing mapping conflict misses in first-level direct-mapped caches.Victim caching is an improvement to miss caching that loads the small fully-associative cache with the victim of a miss and not the requested line. Small victim caches of 1 to 5 entries are even more effective at removing conflict misses than miss caching.Stream buffers prefetch cache lines starting at a cache miss address. The prefetched data is placed in the buffer and not in the cache. Stream buffers are useful in removing capacity and compulsory cache misses, as well as some instruction cache conflict misses. Stream buffers are more effective than previously investigated prefetch techniques at using the next slower level in the memory hierarchy when it is pipelined. An extension to the basic stream buffer, called multi-way stream buffers, is introduced. Multi-way stream buffers are useful for prefetching along multiple intertwined data reference streams.Together, victim caches and stream buffers reduce the miss rate of the first level in the cache hierarchy by a factor of two to three on a set of six large benchmarks.

read more

Content maybe subject to copyright    Report

Citations
More filters
Proceedings ArticleDOI

SABRes: atomic object reads for in-memory rack-scale computing

TL;DR: SABRes is proposed, a new one-sided operation that provides atomic remote object reads in hardware and LightSABRes, a lightweight hardware accelerator for SABRes that removes all atomicity-associated software overheads.
Dissertation

Simultaneous subordinate microthreading

TL;DR: This dissertation presents the SSMT paradigm and demonstrates two applications of SSMT that improve performance by correcting branch mispredictions, and describes the software and hardware changes necessary to support SSMT on a futuristic microprocessor.
Dissertation

The Potential for Thread-Level Data Speculat ion in Tight ly-Coupled Mult iprocessors

TL;DR: The potential for using thread-level data speculution (TLDS) to overcome this limitation by allowing the compiler to view parallelization solely as a costlbenefit tradeoff, rather than something which may violate program correctness.
Patent

Near-perfect, fixed-time searching algorithm using hashing, LRU and cam-based caching

Suhas Shetty, +1 more
TL;DR: In this article, a hash is searched for a match to the unique key and then a cache is searched to find the match to a unique key in order to obtain the information regarding the key.
Journal ArticleDOI

Improving latency tolerance of multithreading through decoupling

TL;DR: A novel processor microarchitecture which combines two paradigms: simultaneous multithreading and access/execute decoupling is presented, which is significantly less complex, in terms of critical path delays, than a centralized out-of-order design, and it is more effective for future growth in issue-width and clock speed.
References
More filters
Journal ArticleDOI

Cache Memories

TL;DR: Specific aspects of cache memories investigated include: the cache fetch algorithm (demand versus prefetch), the placement and replacement algorithms, line size, store-through versus copy-back updating of main memory, cold-start versus warm-start miss ratios, mulhcache consistency, the effect of input /output through the cache, the behavior of split data/instruction caches, and cache size.

Why Aren't Operating Systems Getting Faster As Fast as Hardware?

TL;DR: This note evaluates several hardware platforms and operating systems using a set of benchmarks that test memory bandwidth and various operating system features such as kernel entry/exit and file systems to conclude that operating system performance does not seem to be improving at the same rate as the base speed of the underlying hardware.
Journal ArticleDOI

Available instruction-level parallelism for superscalar and superpipelined machines

TL;DR: A parameterizable code reorganization and simulation system was developed and used to measure instruction-level parallelism and the average degree of superpipelining metric is introduced, suggesting that this metric is already high for many machines.
Journal ArticleDOI

Sequential Program Prefetching in Memory Hierarchies

TL;DR: It is shown that prefetching all memory references in very fast computers can increase the effective CPU speed by 10 to 25 percent.
Proceedings ArticleDOI

On the inclusion properties for multi-level cache hierarchies

TL;DR: The inclusion property is essential in reducing the cache coherence complexity for multiprocessors with multilevel cache hierarchies and a new inclusion-coherence mechanism for two-level bus-based architectures is proposed.