scispace - formally typeset
Open AccessProceedings ArticleDOI

MERS: Statistical Test Generation for Side-Channel Analysis based Trojan Detection

Reads0
Chats0
TLDR
Simulation results demonstrate that the tests generated by MERS can significantly increase the Trojans sensitivity, thereby making Trojan detection effective using side-channel analysis.
Abstract
Hardware Trojan detection has emerged as a critical challenge to ensure security and trustworthiness of integrated circuits. A vast majority of research efforts in this area has utilized side-channel analysis for Trojan detection. Functional test generation for logic testing is a promising alternative but it may not be helpful if a Trojan cannot be fully activated or the Trojan effect cannot be propagated to the observable outputs. Side-channel analysis, on the other hand, can achieve significantly higher detection coverage for Trojans of all types/sizes, since it does not require activation/propagation of an unknown Trojan. However, they have often limited effectiveness due to poor detection sensitivity under large process variations and small Trojan footprint in side-channel signature. In this paper, we address this critical problem through a novel side-channel-aware test generation approach, based on a concept of Multiple Excitation of Rare Switching (MERS), that can significantly increase Trojan detection sensitivity. The paper makes several important contributions: i) it presents in detail the statistical test generation method, which can generate high-quality testset for creating high relative activity in arbitrary Trojan instances; ii) it analyzes the effectiveness of generated testset in terms of Trojan coverage; and iii) it describes two judicious reordering methods can further tune the testset and greatly improve the side channel sensitivity. Simulation results demonstrate that the tests generated by MERS can significantly increase the Trojans sensitivity, thereby making Trojan detection effective using side-channel analysis.

read more

Citations
More filters
Journal ArticleDOI

COTD: Reference-Free Hardware Trojan Detection and Recovery Based on Controllability and Observability in Gate-Level Netlist

TL;DR: Using an unsupervised clustering analysis, the paper shows that the controllability and observability characteristics of Trojan gates present significant inter-cluster distance from those of genuine gates in a Trojan-inserted circuit, such that Trojan gates are easily distinguishable.
Journal ArticleDOI

Hardware Trojan Detection Through Chip-Free Electromagnetic Side-Channel Statistical Analysis

TL;DR: Experimental results on selected Advanced Encryption Standard benchmark circuits on FPGA show that the proposed method can effectively detect Trojans even with very small traces, and is immune to the process variation theoretically.
Journal ArticleDOI

Scalable Test Generation for Trojan Detection Using Side Channel Analysis

TL;DR: Simulation results demonstrate that the tests generated by MERS can significantly increase the Trojans sensitivity, thereby making Trojan detection effective using side-channel analysis.
Proceedings ArticleDOI

An automated configurable Trojan insertion framework for dynamic trust benchmarks

TL;DR: Experiments demonstrate that a state-of-the-art Trojan detection technique provides poor efficacy when using benchmarks generated by the developed comprehensive framework of automatic hardware Trojan insertion.
Proceedings ArticleDOI

Hardware Trojan Detection Using ATPG and Model Checking

TL;DR: This paper proposes an effective test generation approach which is capable of activating malicious functionality hidden in large sequential designs and uses the combination of ATPG and model checking approaches to detect hardware Trojans.
References
More filters
Proceedings ArticleDOI

Parameter variations and impact on circuits and microarchitecture

TL;DR: Process, voltage and temperature variations; and their impact on circuit and microarchitecture; and possible solutions to reduce the impact of parameter variations and to achieve higher frequency bins are presented.
Proceedings ArticleDOI

Trojan Detection using IC Fingerprinting

TL;DR: These results show that Trojans that are 3-4 orders of magnitude smaller than the main circuit can be detected by signal processing techniques and provide a starting point to address this important problem.
Proceedings ArticleDOI

Hardware Trojan detection using path delay fingerprint

TL;DR: A new behavior-oriented category method is proposed to divide trojans into two categories: explicit payload trojan and implicit payloadtrojan, which makes it possible to construct trojan models and then lower the cost of testing.
Proceedings ArticleDOI

Security analysis of logic obfuscation

TL;DR: This work demonstrates that an attacker can decipher the obfuscated nctlist, in a time linear to the number of keys, by sensitizing the key values to the output, and develops techniques to fix this vulnerability and make obfuscation truly exponential in thenumber of inserted keys.
Book ChapterDOI

MERO: A Statistical Approach for Hardware Trojan Detection

TL;DR: A test pattern generation technique based on multiple excitation of rare logic conditions at internal nodes that maximizes the probability of inserted Trojans getting triggered and detected by logic testing, while drastically reducing the number of vectors compared to a weighted random pattern based test generation.
Related Papers (5)