scispace - formally typeset
Proceedings ArticleDOI

Reducing power in high-performance microprocessors

TLDR
The main trends that are driving the increased focus on design for low power are described and areas that need increased research focus in the future are also pointed out.
Abstract: 
Power consumption has become one of the biggest challenges in high-performance microprocessor design. The rapid increase in the complexity and speed of each new CPU generation is outstripping the benefits of voltage reduction and feature size scaling. Designers are thus continuously challenged to come up with innovative ways to reduce power, while trying to meet all the other constraints imposed on the design. This paper presents an overview of the issues related to power consumption in the context of Intel CPUs. The main trends that are driving the increased focus on design for low power are described. System and benchmarking issues, and sources of power consumption in a high-performance CPU are briefly described. Techniques that have been tried on real designs in the past are described. The role of CAD tools and their limitations in this domain will also be discussed. In addition, areas that need increased research focus in the future are also pointed out.

read more

Content maybe subject to copyright    Report

Citations
More filters
Proceedings ArticleDOI

Wattch: a framework for architectural-level power analysis and optimizations

TL;DR: Wattch is presented, a framework for analyzing and optimizing microprocessor power dissipation at the architecture-level and opens up the field of power-efficient computing to a wider range of researchers by providing a power evaluation methodology within the portable and familiar SimpleScalar framework.
Proceedings ArticleDOI

Dynamic thermal management for high-performance microprocessors

TL;DR: This work investigates dynamic thermal management as a technique to control CPU power dissipation and explores the tradeoffs between several mechanisms for responding to periods of thermal trauma and the effects of hardware and software implementations.
Journal ArticleDOI

Power-aware microarchitecture: design and modeling challenges for next-generation microprocessors

TL;DR: The approach of using energy-enabled performance simulators in early design, examining some of the emerging paradigms in processor design and comment on their inherent power-performance characteristics, is described.
Journal ArticleDOI

System-level power optimization: techniques and tools

TL;DR: This tutorial presents a cohesive view of power-conscious system-level design, which considers systems as consisting of a hardware platform executing software programs, and considers the major constituents of systems: processors, memories and communication resources.
Journal ArticleDOI

Design of Robust, Energy-Efficient Full Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic Style

TL;DR: The proposed full adder is energy efficient and outperforms several standard full adders without trading off driving capability and reliability and is based on a novel xor-xnor circuit that generates xor and xnor full-swing outputs simultaneously.
References
More filters
Journal ArticleDOI

Minimizing power consumption in digital CMOS circuits

TL;DR: An approach is presented for minimizing power consumption for digital systems implemented in CMOS which involves optimization at all levels of the design and has been applied to the design of a chipset for a portable multimedia terminal that supports pen input, speech I/O and full-motion video.
Journal ArticleDOI

Instruction level power analysis and optimization of software

TL;DR: This paper describes an alternative, measurement based instruction level power analysis approach that provides an accurate and practical way of quantifying the power cost of soft-ware and guides the development of general tools and techniques for low power software.
Proceedings ArticleDOI

Technology Decomposition and Mapping Targeting Low Power Dissipation

TL;DR: This paper generates a NAND decomposition of an optimized Boolean network such that the sum of average switching rates for all nodes in the network is minimum and performs a power efficient technology mapping that finds an optimal power-delay trade-off value for given timing constraints.
Proceedings ArticleDOI

Technology Mapping for Low Power

TL;DR: This paper focuses on the problem of mapping a technology independent circuit to a technology specific one, using gates from a given library, with power as the optimization metric, and observes that a significant variation in the power consumption is possible just by varying the choice of gates.
Proceedings ArticleDOI

Technology mapping for lower power

TL;DR: This paper focuses on the problem of mapping a technology independent circuit to a technology specific one, using gates from a given library, with power as the optimization metric, and observes that a significant variation in the power consumption is possible just by varying the choice of gates.