scispace - formally typeset
Journal ArticleDOI

Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon

Reads0
Chats0
TLDR
A new power budget concept, called Thermal Safe Power (TSP), which is an abstraction that provides safe power and power density constraints as a function of the number of simultaneously active cores, which results in dark silicon estimations which are less pessimistic than estimations using constant power budgets.
Abstract
Chip manufacturers provide the Thermal Design Power (TDP) for a specific chip. The cooling solution is designed to dissipate this power level. But because TDP is not necessarily the maximum power that can be applied, chips are operated with Dynamic Thermal Management (DTM) techniques. To avoid excessive triggers of DTM, usually, system designers also use TDP as power constraint. However, using a single and constant value as power constraint, e.g., TDP, can result in significant performance losses in homogeneous and heterogeneous manycore systems. Having better power budgeting techniques is a major step towards dealing with the dark silicon problem. This paper presents a new power budget concept, called Thermal Safe Power (TSP), which is an abstraction that provides safe power and power density constraints as a function of the number of simultaneously active cores. Executing cores at any power consumption below TSP ensures that DTM is not triggered. TSP can be computed offline for the worst cases, or online for a particular mapping of cores. TSP can also serve as a fundamental tool for guiding task partitioning and core mapping decisions, specially when core heterogeneity or timing guarantees are involved. Moreover, TSP results in dark silicon estimations which are less pessimistic than estimations using constant power budgets.

read more

Citations
More filters
Journal ArticleDOI

Peak Power Management to Meet Thermal Design Power in Fault-Tolerant Embedded Systems

TL;DR: The proposed scheme tries to remove overlaps of the peak power of concurrently executing tasks to keep the maximum power consumption below the chip TDP, and devised a policy called PPA-LTF to manage peak power consumption.
Journal ArticleDOI

adBoost: Thermal Aware Performance Boosting Through Dark Silicon Patterning

TL;DR: A controller for thermal aware performance boosting that decides on efficient allocation utilization of power budget and thermal headroom obtained from patterning is designed, which yields up to 37 percent better throughput, 29 percent lower waiting time and up to 2 longer boosting periods, in comparison with other state-of-the-art run-time mapping policies.
Journal ArticleDOI

Simultaneous Management of Peak-Power and Reliability in Heterogeneous Multicore Embedded Systems

TL;DR: A peak-power-aware reliability management scheme to meet power constraints through distributing power density on the whole chip such that reliability targets are satisfied and to balance the power consumption is proposed.
Journal ArticleDOI

Peak-Power-Aware Energy Management for Periodic Real-Time Applications

TL;DR: This paper considers a standby-sparing system where the main tasks on primary cores are scheduled by a proposed peak-power-aware earliest-deadline-first policy while the backup tasks on spare cores are Scheduled by the same policy to meet the chip thermal design power (TDP) constraint.
Journal ArticleDOI

Predictive Thermal Management for Energy-Efficient Execution of Concurrent Applications on Heterogeneous Multicores

TL;DR: This paper proposes an accurate temperature prediction scheme coupled with a runtime energy management approach to proactively avoid exceeding temperature thresholds while maintaining performance targets and shows up to 20% energy savings while maintaining high-temperature averages and peaks below the threshold.
References
More filters
Proceedings ArticleDOI

The PARSEC benchmark suite: characterization and architectural implications

TL;DR: This paper presents and characterizes the Princeton Application Repository for Shared-Memory Computers (PARSEC), a benchmark suite for studies of Chip-Multiprocessors (CMPs), and shows that the benchmark suite covers a wide spectrum of working sets, locality, data sharing, synchronization and off-chip traffic.
Proceedings ArticleDOI

McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures

TL;DR: Combining power, area, and timing results of McPAT with performance simulation of PARSEC benchmarks at the 22nm technology node for both common in-order and out-of-order manycore designs shows that when die cost is not taken into account clustering 8 cores together gives the best energy-delay product, whereas when cost is taking into account configuring clusters with 4 cores gives thebest EDA2P and EDAP.
Journal ArticleDOI

Dark Silicon and the End of Multicore Scaling

TL;DR: A comprehensive study that projects the speedup potential of future multicores and examines the underutilization of integration capacity-dark silicon-is timely and crucial.
Proceedings ArticleDOI

Dark silicon and the end of multicore scaling

TL;DR: The study shows that regardless of chip organization and topology, multicore scaling is power limited to a degree not widely appreciated by the computing community.
Related Papers (5)