scispace - formally typeset
Search or ask a question

Showing papers on "Clock domain crossing published in 1996"


Book
01 Jan 1996
TL;DR: In this article, a collection of 65 of the most important papers on phase-locked loops and clock recovery circuits is presented, with an extensive 40 page tutorial introduction and a comprehensive coverage of the field all in one self-contained volume.
Abstract: Featuring an extensive 40 page tutorial introduction, this carefully compiled anthology of 65 of the most important papers on phaselocked loops and clock recovery circuits brings you comprehensive coverage of the field-all in one self-contained volume. You'll gain an understanding of the analysis, design, simulation, and implementation of phase-locked loops and clock recovery circuits in CMOS and bipolar technologies along with valuable insights into the issues and trade-offs associated with phase locked systems for high speed, low power, and low noise.

515 citations


Proceedings ArticleDOI
07 Oct 1996
TL;DR: A novel communication scheme, which is guaranteed to be free of synchronization failures, amongst multiple synchronous modules operating independently, is described, which functions reliably up to the local clock frequency of 220 MHz (according to SPICE simulation).
Abstract: This paper describes a novel communication scheme, which is guaranteed to be free of synchronization failures, amongst multiple synchronous modules operating independently. In this scheme, communication between every pair of modules is done through an asynchronous FIFO channel; communication between a module and the FIFO is done using a request/acknowledge handshaking. Synchronization of handshaking signals to the local module clock is done in an unconventional way-the local clock built out of a ring oscillator is paused or stretched, if necessary, to ensure that the handshaking signal satisfies setup and hold time constraints with respect to the local clock. We constructed a test bed consisting of two synchronous modules with pausible clocking control and an asynchronous FIFO on a MOSIS 1.2 /spl mu/m CMOS chip. The resulting system functions reliably up to the local clock frequency of 220 MHz (according to SPICE simulation)-the maximum clock rate is limited by the ring oscillator not the pausible clocking control. Preliminary test results indicate that the fabricated chips operate correctly as simulated.

159 citations


Patent
29 Jul 1996
TL;DR: In this paper, a clock frequency controller is coupled to a clock pulse generator to adjust the clock frequency of the clock over a range of time intervals, in response to the load on the processor.
Abstract: In a computer system having a cpu, a device for dynamic cpu clock adjustment. The device is comprised of a clock pulse generator for generating a clock frequency. The clock frequency is coupled to the cpu and is used by the cpu to synchronize and pace its internal operations. The clock frequency generated by the generator is variable over a range. A controller is coupled to the clock pulse generator, for adjusting the clock frequency from the clock pulse generator over the range. The controller interfaces with the computer system through an interface coupled to the controller. Through the interface, the controller communicates with the computer system or cpu and determines a load placed on the cpu. The controller adjusts the clock frequency generated by the clock pulse generator such that the clock frequency increases when the load on the cpu increases and the clock frequency decreases when the load on the cpu decreases, dynamically adjusting the clock frequency in response to the load on the cpu.

139 citations


Patent
12 Apr 1996
TL;DR: In this article, a controlled delay path is proposed to insert a selected delay into a clock distribution circuit to create a total clock delay that is equal to an integer number of clock cycles relative to a reference input clock signal or produces a selected phase relationship to the reference clock signal.
Abstract: A controlled delay path inserts a selected delay into a clock distribution circuit to create a total clock delay that is equal to an integer number of clock cycles relative to a reference input clock signal or which produces a selected phase relationship to the reference clock signal. The delay path correction of the invention is particularly useful in circuits having a wide range of possible system clock frequencies or having programmable routing of clock signals, and therefore a wide range of operating delays. A reference input clock signal is directed to a range of selectable voltage controlled delay elements by a phase detector that receives the reference input clock signal and a feedback signal, and that produces an error voltage which adjusts the voltage controlled delay elements to produce an output clock signal. Additional selectable delays may be included that create offset options and allow selection of a leading, lagging, or in-phase reference input clock/output clock relationship. In one form of the invention, an inventer adapted to invert one of the reference input clock and output clock signals, and a divide by N circuit for lowering the clock frequency while roughly adjusting the delay.

136 citations


Patent
12 Feb 1996
TL;DR: In this paper, an integrated circuit with power conservation includes a number of functional blocks, each of which includes digital circuitry and at least one output control line, and a power controller coupled to the control lines.
Abstract: An integrated circuit with power conservation includes a number of functional blocks, each of which includes digital circuitry and at least one output control line, and a power controller coupled to the control lines. The output control lines develop clock control signals based upon a functional block's knowledge of the direction of data flow. The power controller reduces power by deactivating functional blocks that are not needed as indicated by the clock control signals. More specifically, a system with power conservation includes a number of functional blocks capable of processing data, each of the functional blocks including a modulated clock input and N+1 clock control lines which reflect the direction of data flow, where N is a number of neighbors of a particular functional block, and a clock controller having an input clock, the clock controller being coupled to the modulated clock inputs and the clock control lines of the functional blocks. The clock controller is operative to modulate the input clock in accordance with the signals on the clock control lines to provide modulated clocks to each of the plurality of functional blocks. A method for reducing power consumption includes the steps of: a) receiving control signals from a number of functional blocks; b) selectively deactivating a particular functional block upon a request from that functional block or from another functional block; and c) activating the particular functional block upon a request from another functional block.

133 citations


Patent
Gottfried Goldrian1
12 Aug 1996
TL;DR: In this paper, a method for quantifying the variable clock delay, which consists of a multitude of delay elements arranged in a delay chain, is given, in order to calculate the appropriate delay values for each chip and thus synchronize a multutude of chips.
Abstract: Information about the relative phase relationship of the clocks of two chips that are connected with an inter-chip connection is used to adjust the clocks. In the method proposed by the invention, transitions between a good data transfer behaviour to a worse data transfer behaviour are detected as a function of the variable clock delays which delay the chip clock, and a clock delay value between the transitions is chosen. Thus, an optimization of data transmission is achieved, and it can be shown that with this procedure, the clock skew is accurately compensated as well. Additionally, a method for quantifying the variable clock delay, which consists of a multitude of delay elements arranged in a delay chain, is given. In order to do this, the number of delay elements necessary for a delay of half a clock cycle is determined. Thus, a connection between the length of a clock cycle and the delay caused by one delay element is established. With this method of quantifying delays, it is possible to transmit information about the value of the variable clock delay between chips. It is also possible to transmit this information to a central clock adjustment unit, which is especially advantageous in a multi-chip system. The central clock adjustment unit can calculate the appropriate delay values for each chip and thus synchronize a multutude of chips.

115 citations


Patent
05 Jul 1996
TL;DR: In this paper, a GPS receiver includes an internal clock for maintaining an approximate clock time during a standby mode and a microprocessor system including a data bit timer code, a temperature compensation code, and a learned time adjustment code.
Abstract: A GPS receiver and a method for improving time to first fix (TTFF). The GPS receiver includes an internal clock for maintaining an approximate clock time during a standby mode and a microprocessor system including a data bit timer code, a temperature compensation code, and a learned time adjustment code. When the GPS receiver enters an operational mode, the data bit timer code instructs the microprocessor system for determining a GPS-based clock time by aligning the approximate clock time according to a time-of-arrival of a GPS data bit when the approximate clock time and the GPS-based clock time are estimated to be within a correction range of ten milliseconds. The temperature compensation code and a learned time adjustment code include instructions for using a stored frequency/temperature characteristic and a learned time correction, respectively, for estimating and compensating for time drift that has occurred during the standby mode. A temperature sensor provides a temperature measurement of a reference oscillator used as a time base for the internal clock.

104 citations


Patent
Kevin J. Ryan1
20 Dec 1996
TL;DR: In this paper, a method and apparatus for operating a synchronous memory from a plurality of external clock signals is described, where a memory is operated by delaying operational clock signals such as read and write clock signals, with respect to a system clock signal.
Abstract: A method and apparatus for operating a synchronous memory from a plurality of external clock signals is described. By providing external system, read, and write clock signals, a memory is operated by delaying operational clock signals, such as read and write clock signals, with respect to a system clock signal in order to reduce the apparent access time of the synchronous memory and/or to increase setup time to the synchronous memory. The delay of the read and write clock signals with respect to the system clock signal may be accomplished through a phase-lock-loop or delay-lock-loop which is off-chip with respect to the integrated circuit synchronous memory. Delay circuitry may be employed for operating one or more than one synchronous memories.

102 citations


Proceedings ArticleDOI
01 Jun 1996
TL;DR: Graph-based algorithms are presented for determining the minimum clock period and for selecting a range of process tolerant clock skews for each local data path in the circuit, respectively and these algorithms have been demonstrated on the ISCAS-89 suite of circuits.
Abstract: A methodology is presented in this paper for determining an optimal set of clock path delays for designing high performance VLSI/ULSI-based clock distribution networks. This methodology emphasizes the use of non-zero clock skew to reduce the system-wide minimum clock period. Although choosing (or scheduling) clock skew values has been previously recognized as an optimization technique for reducing the minimum clock period, difficulty in controlling the delays of the clock paths due to process parameter variations has limited its effectiveness. In this paper the minimum clock period is reduced using intentional clock skew by calculating a permissible clock skew range for each local data path while incorporating process dependent delay values of the clock signal paths. Graph-based algorithms are presented for determining the minimum clock period and for selecting a range of process tolerant clock skews for each local data path in the circuit, respectively. These algorithms have been demonstrated on the ISCAS-89 suite of circuits. Furthermore, examples of clock distribution networks with intentional clock skew are shown to tolerate worst case clock skew variations of up to 30% without causing circuit failure while increasing the system-wide maximum clock frequency by up to 20% over zero skew-based systems.

98 citations


Patent
19 Dec 1996
TL;DR: In this article, a mechanism for adjusting the frame clock used by an audio DSP or other functional unit to transfer data to the rate at which data is transferred across an isochronous bus is presented.
Abstract: A mechanism for adjusting the frame clock used by an audio DSP or other functional unit to transfer data to the rate at which data is transferred across an isochronous bus. According to one embodiment of the present invention, there is provided a mechanism for monitoring the level of data in a data buffer. The data are transferred to the buffer from the audio DSP, and then out the buffer across the isochronous bus, such as a Universal Serial bus. If the level in the buffer is too high, the audio DSP is filling the data buffer too quickly. If the data level in the buffer is too low, then the audio DSP is not providing the data quickly enough. The frame clock on the audio logic which is used to generate and transfer the data to the buffer is adjusted. Thus, if the level in the buffer is too high, the frame clock will be slowed; if the level in the buffer is too low, the rate of the frame clock will be increased. More particularly, there is provided a programmable clock divider which receives as input a master clock used by the audio DSP for computational purposes, and from which the frame clock is derived. Responsive to the level of data in the buffer, the programmable clock divider will adjust the rate of the frame clock.

96 citations


Patent
02 May 1996
TL;DR: In this paper, a fault-tolerant computer system employing multiple CPUs executing the same instruction stream under independent clock cycle timing is described. But the execution of the instructions is deterministically executed internally until input or output operations require access to memory or devices which are not synchronous with the local CPU clock.
Abstract: A fault-tolerant computer system employing multiple CPUs executing the same instruction stream under independent clock cycle timing. The CPUs deterministically execute the instructions internally until input or output operations require access to memory or devices which are not synchronous with the local CPU clock. The CPUs are forced to take the same number of CPU clock cycles to complete the I/O operations. When the I/O operation is complete the internal processing of the instruction stream continues in a manner which is clock aligned in each of the multiple CPUs but which may be separate in real time due to oscillator drift. Accumulated drift is periodically removed by a timed interrupt which forces resynchronization of the CPUs in real time.

Journal ArticleDOI
TL;DR: This paper presents a circuit fabricated to test a new method of clock frequency multiplication that uses a digital CMOS process in order to implement the delay locked loop and does not require external components.
Abstract: High frequency clock rate is a key issue in today's VLSI. To improve performance on-chip, clock multipliers are used. But it is a difficult task to design such circuits while maintaining low cost. This paper presents a circuit fabricated to test a new method of clock frequency multiplication. This new approach uses a digital CMOS process in order to implement a fully integrated digital delay locked loop. This multiplier does not require external components. Moreover, as it is primarily intended for ASIC design, it is generated by a parameterized generator written in C which relies on a portable digital standard cell library for automatic place and route. The design based on the delay locked loop allows the clock waveform to reach its operating point faster than conventional methods. Special techniques enable high multiplication factors (between 4 and 20) without compromising the timing accuracy. With a clock multiplier of 20, in 1 /spl mu/m CMOS process and a 5 V supply voltage, a 170 MHz clock signal has been obtained from a 8.5 MHz external clock with a measured jitter lower than 300 ps.

Patent
16 Jan 1996
TL;DR: In this paper, a biquadratic switched-capacitor filter was proposed for sigma-delta modulators with six different clock signals, including two-phase complementary but non-overlapping pulse trains with a reference period.
Abstract: The present invention discloses a biquadratic switched-capacitor filter, which merely utilizes one operational amplifier to implement a biquadratic transfer function. The biquadratic switched-capacitor filter further comprises ten switched-capacitor circuits, two feedback capacitors, and two individual switching devices. The switching devices in this switched-capacitor filter can be controlled by six different clock signals. The first and second clock signals are two-phase, complementary but non-overlapping pulse trains with a reference period. The third clock signal is a pulse train with double the reference period and coincident with the first clock signal. The fourth, the fifth, and the sixth clock signals are pulse trains that result from delaying the third, the fourth, and the fifth clock signals by half the reference period. The obtained switched-capacitor filter can be used to simplify some applications, such as sigma-delta modulators.

Patent
David W. Blum1
12 Mar 1996
TL;DR: In this article, the authors propose a duty cycle correction circuit that facilitates correction of clock signal duty cycles, including correcting for errors introduced by intervening devices in the clock signal distribution network.
Abstract: A duty cycle correction circuit that facilitates correction of clock signal duty cycles, including correcting for errors introduced by intervening devices in the clock signal distribution network. The duty cycle correction circuit of the preferred embodiment comprises a clock chopper circuit, a duty cycle comparator circuit, and a control circuit. The duty cycle comparator circuit compares the duty cycle of the clock signal with the duty cycle of a reference signal. The control circuit adjusts the clock chopper circuit based upon the duty cycle comparison, resulting in an output with a corrected duty cycle.

Patent
13 Nov 1996
TL;DR: In this article, an apparatus and method which provides specified hold times for communication signals transmitted from a processing device that is capable of operating at different frequencies, to external devices, is provided.
Abstract: An apparatus and method which provides specified hold times for communication signals transmitted from a processing device that is capable of operating at different frequencies, to external devices, is provided. The apparatus includes a clock multiplier which generates an internal clock signal which is a multiple of an external clock, a ring oscillator, which provides a number of outputs of the same frequency as the internal clock, but at fixed phase offsets from the internal clock, and clock select circuitry, which selects one of the outputs from the ring oscillator, depending on the speed of the internal clock, to be used as a drive clock signal for a bus unit. Selection of one of the phase offset outputs provides for a specified hold time regardless of the internal clock speed of the processing device.

Book ChapterDOI
Behzad Razavi1
01 Jan 1996

Patent
07 Aug 1996
TL;DR: In this article, a clock enable PLL lock detect circuit was proposed to enable and disable the generation of an output clock signal relative to an input reference signal to the PLL.
Abstract: A circuit for enabling and disabling generation of an output clock signal is disclosed. The circuit includes a PLL lock detect circuit that generates an active lock control signal when an output reference signal of a phase lock loop (PLL) circuit is phase locked relative to an input reference signal to the PLL. The output reference signal of the PLL, and the lock signal from the lock detect circuit, are both provided to a clock enable circuit. The clock enable circuit includes a negative edge-triggered D-type flip-flop and a two-input AND gate. The lock signal is applied to the D-input of the flip-flop, while the clock signal is applied to the clock input of the flip-flop. The lock signal is generated asynchronously relative to the input clock signal. Therefore, the flip-flop samples the lock signal on each falling edge of the clock signal so as to synchronize the lock signal relative to the input clock signal. The sampled lock signal, and the input clock signal (formed from the PLL output reference signal) are provided on respective input terminals of the AND gate. The output of the AND gate defines the output clock signal.

Patent
Seung-Moon Yoo1, Ejaz Haq1
19 Dec 1996
TL;DR: In this paper, a DRAM memory device has an internal oscillator to provide a periodic clock signal, and an external control signal is provided also synchronized to the internal clock signal.
Abstract: A semiconductor memory device such as a DRAM has an internal oscillator to provide a periodic clock signal. During a read operation, output data is generated synchronized to the internal clock signal, and an external control signal is provided also synchronized to the internal clock signal. A requesting device utilizes the external control signal for fetching data from the memory device at high speed with improved setup and hold time. The control signal output is active only during a read operation, thereby reducing power consumption. Additionally, a common line is used for receiving address, instructions, and data. This drastically reduces the number of pins for interfacing to a memory device.

Patent
Ryoji Ninomiya1
05 Aug 1996
TL;DR: In this paper, each buffer circuit in a clock driver is enabled/disabled in accordance with clock drive control information set in a programmable register, and the clock signal lines of a plurality of PCI devices can be selectively driven.
Abstract: Each buffer circuit in a clock driver is enabled/disabled in accordance with clock drive control information set in a clock drive control register. Since the clock drive control information set in the register is programmable, the clock signal lines of a plurality of PCI devices can be selectively driven. Therefore, clock supply to unused PCI devices can be stopped, so that wasteful power consumption can be reduced.

Patent
Kamalesh Ruparel1
26 Jul 1996
TL;DR: The scannable-D-flip-flops as discussed by the authors can operate in a normal mode of operation or in a scan/test mode, depending on the system clock.
Abstract: The present invention discloses an apparatus for controlling and observing test data stored in scannable-D-flip-flops independent of a system clock, thereby making the scannable-D-flip-flops well suited for partial scanning Design-For-Test (DFT) techniques. Under the present invention, the scannable-D-flip-flop is comprised of two master latches and one slave latch such that the scannable-D-flip-flops may operate in a normal mode of operation or a scan/test mode of operation. During normal mode of operation, the first master latch operates together with the slave latch in response to the system clock. During the scan/test mode of operation, the second master latch operates together with the slave latch in response to a scan clock. Since the scanning of external test data is controlled by the scan clock, the conventional non-scannable D-flip-flops in the design, which are controlled by the system clock, maintain their previous states during a scanning operation. Also disclosed is a method for performance testing integrated circuits utilizing the scanning application of the scannable-D-flip-flops. This is accomplished by constructing a test circuit that spans the entire silicon die area. By using a special AC-TEST-MODE control signal, the scannable D-flip-flops are set to a "flow-through" mode to provide a direct path through the scannable flip-flops such that the test circuit forms an oscillator in which the frequency of the device can be measured.

Patent
08 Apr 1996
TL;DR: In this article, a variable delay circuit is proposed to adjust the timing of the data input at each multiplexer block in one of the second to the n-th stages.
Abstract: A multiplexer includes an n-th stage as a final output stage (n=integer, 2≦n); j stages (j=integer, 1≦j≦n-1), the n-th stage including a D flip-flop having a clock input terminal for receiving a first clock signal, a data input terminal for receiving serial data, and a data output terminal, the D flip-flop synchronizing the clock signal with the serial data; and a j-th stage including m n-j-1 (m=integer, 2≦m) multiplexer blocks, each multiplexer block including D flip-flops and having data input terminals for receiving m parallel data inputs and a clock input terminal for receiving a second clock signal produced by frequency division of the first clock signal, and converting the parallel data into serial data in response to the second clock signal. The multiplexer further includes a variable delay circuit connected to the data input terminal of each multiplexer block in one of the second to the n-th stages for delaying the data input by a variable delay time. Therefore, even when the delay times of the constituents of the circuit vary due to device parameters or temperature, the timing of the data input can be adjusted by the variable delay circuit.

Journal ArticleDOI
TL;DR: This paper utilizes information from the introduction of clock skew at an edge-triggered flip-flop to find an optimal retiming of the clock period, and views the circuit hierarchically, first solving the clock skew problem at one level above the gate level, and then using local transformations at the gatelevel to perform retimed for the optimal clock period.
Abstract: The introduction of clock skew at an edge-triggered flip-flop has an effect that is similar to the movement of the flip-flop across combinational logic module boundaries, and these are continuous and discrete optimizations with the same effect. While this fact has been recognized before, this paper, for the first time, utilizes this information to find an optimal retiming. The clock period is guaranteed to be at most one gate delay larger than the optimal clock period found using skew alone; note that since skew is a continuous optimization, it is possible that the optimal period may not be achievable. The method views the circuit hierarchically, first solving the clock skew problem at one level above the gate level, and then using local transformations at the gate level to perform retiming for the optimal clock period. The solution is thus divided into two phases. In Phase A, the clock skew optimization problem is solved with the objective of minimizing the clock period, while ensuring that the difference between the maximum and the minimum skew is minimized. Next, in Phase B, retiming is employed and some flip-flops are relocated across gates in an attempt to set the values of all skews to be as close to zero as possible.

Patent
28 Jun 1996
TL;DR: In this paper, a method and apparatus for adjusting the clock frequency and voltage supplied to an integrated circuit is presented, where a signal is sent to the clock, and in response, the clock lowers the frequency supplied to the integrated circuit.
Abstract: A method and apparatus for adjusting the clock frequency and voltage supplied to an integrated circuit. First, a signal is sent to the clock, and in response, the clock lowers the clock frequency supplied to the integrated circuit. The clock sends a signal to the voltage regulator whereupon the voltage regulator reduces the voltage supplied to the integrated circuit.

Patent
27 Nov 1996
TL;DR: In this paper, a clock reproduction circuit for reproducing a data clock from a data signal is described, which includes a voltage controlled oscillator, a phase detector, a frequency error detection circuit and a charge pump whose output is controlled by the outputs of the phase detector and the frequency error detector.
Abstract: A clock reproduction circuit for reproducing a data clock from a data signal is disclosed. The clock reproduction circuit includes a voltage controlled oscillator, a phase detector, a frequency error detection circuit and a charge pump whose output is controlled by the outputs of the phase detector and the frequency error detection circuit. A VCO clock output from the voltage controlled oscillator is synchronized with the data clock by the feedback loop consisting of these elements. The frequency error detection circuit detects a frequency error between the VCO clock and the data clock by detecting changes in the phases of the VCO clock at the transition edges of the data signal. Analog and digital frequency error detection circuits are disclosed. Further, improved circuit elements in the clock reproduction circuit are disclosed.

Patent
30 Aug 1996
TL;DR: In this article, a dynamic flip-flop circuit is presented, where a one-shot dynamic flip flop is used to generate a delayed clock output (319) followed by a falling edge (440) of a clock signal.
Abstract: A high-performance dynamic flip-flop circuit implementation. The dynamic flip-flop circuit comprises an "implicit" one-shot to generate a delayed clock output (319). The flip-flop comprises a delay block (317) coupled to a clock input (305). The flip-flop may be a D-type flip-flop. In a positive-edge-triggered embodiment of the flip-flop, a falling edge (440) of the delayed clock output (319) follows a rising edge (444) of a clock signal after a delay period (448). The flip-flop clocks in new data at a data input (305) in response to the clock input (310) during this delay period (448). Data is held in a storage block (360). The flip-flop has extremely good transient characteristics, especially set-up and clock-to-output times. The flip-flop consumes no static power.

Patent
04 Dec 1996
TL;DR: In this paper, a register (TONTOFF) for data and a clock circuit (2340, 708) coupled to the register and responsive to the data in the register to generate a series of clock pulses (CPU--CLK) are described.
Abstract: An electronic system (100) includes a register (TONTOFF) for data and a clock circuit (2340, 708) coupled to the register and responsive to the data in the register to generate a series of clock pulses (CPU-- CLK). The series of clock pulses occupies time intervals (2550) interspersed with time intervals free of clock pulses (2552), as an output having a ratio of the time intervals responsive to the data. Other devices, systems and methods are also disclosed.

Proceedings ArticleDOI
12 Aug 1996
TL;DR: This work proposes an algorithm that determines the clock arrival time at each flip-flop in order to minimize the current peaks while respecting timing constraint, and shows that current peaks can be reduced by more than a factor of two without penalty on cycle time and average power dissipation.
Abstract: The presence of large current peaks on the power and ground lines is a serious concern for designers of synchronous digital circuits. Current peaks are caused by the simultaneous switching of highly loaded clock lines and by the signal propagation through the sequential logic elements. In this work we propose a methodology for reducing the amplitude of the current peaks. This result is obtained by clock skew optimization. We propose an algorithm that determines the clock arrival time at each flip-flop in order to minimize the current peaks while respecting timing constraint. Our results on benchmark circuits show that current peaks can be reduced by more than a factor of two without penalty on cycle time and average power dissipation. Our methodology is therefore well-suited for low-power systems with reduced supply voltage, where low noise margins are a primary concern.

Patent
05 Nov 1996
TL;DR: In this article, a block clock and initialization circuit for a programmable logic block in a complex very high density PLC was proposed, where the block clock signals and initialization signals for elements in the PLC block were generated by a generator and a block initialization circuit.
Abstract: A block clock and initialization circuit for a programmable logic block in a complex very high density programmable logic device generates a plurality of block clock signals and block initialization signals for elements in the programmable logic block. The block clock and initialization circuit includes a block clock generator circuit and a block initialization circuit. The block clock generator circuit receives a first set of product terms in a plurality of product terms and a plurality of clock signals as input signals. In response to the input signals, the block clock generator circuit generates output signals on a plurality of block clock lines. The block initialization circuit receives a second set of product terms in the plurality of product terms as input signals. In response to the input signals, the block initialization circuit generates a plurality of output signals on the block initialization lines.

Patent
11 Oct 1996
TL;DR: In this article, a synchronous phase detector is used to generate a clock enable signal suitable for use in a pipeline structure to facilitate data transfer between the different elements of the processing system.
Abstract: A method and apparatus for use in a set top box processing system to permit simultaneous utilization of two system clocks in applications in which certain processing system elements utilize one system clock operating at a non-integer multiple of another system clock used by other processing system elements. A synchronous phase detector is used to generate a clock enable signal suitable for use in a pipeline structure to facilitate data transfer between the different elements of the processing system. The clock enable signal includes phase information extracted from the first and second clock signals, and is suitable for use in driving one or more multiplexers in a pipeline structure or other state-based logic device to thereby allow data transfer between an element of the processing system operating at the first clock rate and an element operating at the second clock rate.

Patent
05 Jun 1996
TL;DR: In this article, the clock skew between the clock driver and the sub-blocks is determined by determining the required clock delay for each sub-block and the number of loading elements that are connected to the delay line.
Abstract: A microelectronic circuit includes a plurality of circuitry blocks and sub-blocks, a clock driver, an electrical interconnect that directly connects the clock driver to the sub-blocks, and balanced clock-tree distribution systems provided between the electrical interconnect and circuitry in the sub-blocks respectively. A method of producing a hierarchial clock distribution system for the circuit includes determining clock skews between the clock driver and the sub-blocks respectively. Delay buffers are selected from a predetermined set of delay buffers having the same physical size and different delays, with the delay buffers being selected to provide equal clock skews between the clock driver and the distribution systems respectively. Each delay buffer includes a delay line, and a number of loading elements that are connected to the delay line, with the number of loading elements being selected to provide the required clock delay for the respective sub-block.