scispace - formally typeset
Search or ask a question

Showing papers on "Etching (microfabrication) published in 1980"


Book
01 Apr 1980

1,402 citations


Journal ArticleDOI
TL;DR: By utilizing this process, experimental logic and memory circuits containing ≅100 interferometers with lines as small as 2.5 µm in width have been successfully fabricated.
Abstract: A process for fabricating experimental Josephson integrated circuits is described that is based primarily on the use of vacuum-deposited Pb-alloy and SiO films patterned by photoresist stencil lift-off. The process has evolved from one previously reported, with changes having occurred in junction electrodes, tunnel barrier formation, layer patterning, device geometry, and minimum linewidths. Films of Pb-In(12 wt%)-Au(4 wt%) alloy (200-800 nm thick) are used for forming junction base electrodes, interferometer controls, and interconnection lines. Tunnel barriers are formed on the base electrode films by thermal oxidation and subsequent sputter-etching in an rf-oxygen plasma. Junction counter electrodes are formed from 400-nm-thick Pb-Bi(29 wt%) alloy films. Ground planes are formed from 300-nm-thick Nb films patterned by subtractive etching and insulated in part by a Nb2O5 layer formed by liquid anodization. Films of the intermetallic compound AuIn2(30-43 nm thick) are used for forming terminating, load, and damping resistors. The Si0 films are used for interlayer insulation, for defining junction areas in interferometers, and as protective coatings. Layer patterning is achieved mainly by means of photoresist lift-off stencils. By utilizing this process, experimental logic and memory circuits containing ≅100 interferometers with lines as small as 2.5 µm in width have been successfully fabricated.

186 citations


Journal ArticleDOI
TL;DR: In this article, a theoretical description of the etch-track evolution in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors.

158 citations


Journal ArticleDOI
TL;DR: In this article, the surface chemistry of silicon exposed to reactive XeF2 gas and the chemisorption of SiF4 on Si at −150 and 25 °C have been studied using XPS and AES.
Abstract: The surface chemistry of silicon exposed to reactive XeF2 gas and the chemisorption of SiF4 on Si at −150 and 25 °C have been studied using XPS and AES. While SiF4 can be condensed at −150 °C, XeF2 is dissociatively chemisorbed and Xe does not stick on the surface. For both Si/SiF4 and Si/XeF2 at 25 °C, a layer of SiF2‐like surface species is identified from the characteristic core level chemical shifts. The formation of this fluorinated surface layer hinders the adsorption of SiF4, but XeF2 reacts with this layer to form volatile SiF4. The behavior of fluorine chemisorption on silicon is illustrated for the first time and the role of surface fluorine in the silicon etching process is discussed in light of the new results.

145 citations


Journal ArticleDOI
TL;DR: In this article, the authors investigated the performance of C2F6-Cl2 plasmas for plasma etching of polycrystalline silicon films for fabrication of silicon gate MOS integrated circuits with emphasis on fine line devices.
Abstract: Plasma etching of polycrystalline silicon films for fabrication of silicon gate MOS integrated circuits has been studied with emphasis on fine‐line devices. CF4–O2 plasmas, commonly used for etching silicon, are unacceptable for very fine features because the etching is isotropic and load dependent. This results in substantial undercutting and insufficient dimensional control. Several alternative gases were investigated in a parallel–plate reactor. CF3Cl and a 70% CF3Br–30% He mixture were found to provide selectivities of 30:1 and 16:1, respectively, over thermal SiO2, freedom from loading effects and a large vertical to lateral etch rate anisotropy which minimizes undercutting. Extensive measurements of etch rate and edge profile as a function of gas composition were made for C2F6–Cl2 plasmas. Fully anisotropic etching (zero lateral etch rate) was observed at low Cl2 concentrations with a selectivity ≳6:1 over thermal SiO2 when using conventional photoresist masks. The vertical and lateral etch rates an...

131 citations


Journal ArticleDOI
TL;DR: In this article, an extension of the SAMPLE general process simulator to plasma etching and metallization is described, where the etching algorithm is divided into isotropic, anisotropic, and direct milling components.
Abstract: The extension of the general process simulator SAMPLE to plasma etching and metallization is described The etching algorithm is divided into isotropic, anisotropic, and direct milling components and is suitable for modeling wet etching, plasma etching, reactive ion etching, and ion milling Separate deposition algorithms are used for CVD, sputtering, and planetary deposition With the extension, it is possible to use a simple keyword repertoire to simulate a sequence of photolithography, etching, and deposition steps to obtain device cross sections at each stage of fabrication

120 citations



Journal ArticleDOI
TL;DR: In this article, the authors used ultraviolet laser photolysis of methyl halides to produce localized photoetching of GaAs and InP, achieving a spatial resolution of 1μm and an etch rate ≳104 times that of the dark reaction demonstrated.
Abstract: Ultraviolet laser photolysis of methyl‐halides has been used to produce localized photoetching of GaAs and InP. A spatial resolution of ≃1μm has been achieved and an etch rate ≳104 times that of the dark reaction demonstrated. A chemical mechanism is proposed and the observed resolution is explained by a simple physical model.

111 citations


Journal ArticleDOI
TL;DR: In this paper, an improved technique was described for selectively etching windows in GaAs crystals with Ga1−xAlxAs (0.30⩽x ⩽ 0.80) epitaxial layers.
Abstract: An improved technique is described for selectively etching ’’windows’’ in GaAs crystals with Ga1−xAlxAs (0.30⩽x⩽ 0.80) epitaxial layers. A reduction factor of 10–50 in the sample preparation time is achieved with a good reproducibility.

103 citations


Patent
19 May 1980
TL;DR: In this paper, an electrode mounted on a swinging arm carries each wafer from the conveyor to a processing position adjacent to a stationary electrode, and the electrodes are energized to ionize the gas and form a plasma for processing the wafer between the electrodes.
Abstract: Automated reactor system and process for etching or otherwise processing semiconductor wafers in a plasma environment. The wafers are carried into and out of a reaction chamber by a conveyor and processed on an individual basis. Within the chamber, an electrode mounted on a swinging arm carries each wafer from the conveyor to a processing position adjacent to a stationary electrode. Gas is admitted to the chamber, and the electrodes are energized to ionize the gas and form a plasma for processing the wafer between the electrodes.

98 citations


Patent
Donald M. Kenney1
28 Jul 1980
TL;DR: In this article, a method for providing high density dynamic memory cells which provides self-alignment of both V-MOSFET device elements and their interconnections through the use of a device-defining masking layer having a plurality of parallel thick and thin regions.
Abstract: A method for providing high density dynamic memory cells which provides self-alignment of both V-MOSFET device elements and their interconnections through the use of a device-defining masking layer having a plurality of parallel thick and thin regions. Holes are etched in portions of the thin regions with the use of an etch mask defining a plurality of parallel regions aligned perpendicular to the regions in the masking layer. V-MOSFET devices having self-aligned gate electrodes are formed in the holes and device interconnecting lines are formed under the remaining portions of the thin regions. A combination of anisotropic etching and directionally dependent etching, such as reaction ion etching, may be used to extend the depth of V-grooves. A method of eliminating the overhang of a masking layer after anisotropic etching includes the oxidation of the V-groove followed by etching to remove both the grown oxide and the overhang is also disclosed.

Journal ArticleDOI
TL;DR: In this paper, the first use of reactive ion etching (RIE) to form mirror facets on GaInAsP/Inp double-heterostructure (DH) lasers (λ∼1.3 μm) was reported.
Abstract: We report the first use of reactive‐ion etching (RIE) to form mirror facets on GaInAsP/Inp double‐heterostructure (DH) lasers (λ∼1.3 μm). The RIE, performed with a Cl2:O2 gas mixture, provides vertical etched walls with no undercutting. Initial laser results demonstrate that quasi‐single‐mode operation and reasonable threshold currents are possible.

Journal ArticleDOI
TL;DR: In this article, the authors describe the reactive ion etching of GaAs, InP, and their derivative compounds using an etch gas composed of CCl2F2, O2, and argon.
Abstract: We describe the reactive ion etching of GaAs, InP, and their derivative compounds using an etch gas composed of CCl2F2, O2, and argon. Etching was generally carried out at pressures between 1 and 10 μ, and power densities below 0.8 W/cm2. Clean etch profiles were obtained with etch rates as high as 0.25 μm/min. A strong dependence of etch rate on pressure was observed with a maximum at 5 μ total pressure. The etch profiles exhibited a ’’negative undercut’’ character which was also dependent upon the total pressure.


Proceedings ArticleDOI
Louis Carl Parrillo1, R.S. Payne, R.E. Davis, G.W. Reutlinger, R.L. Field 
01 Jan 1980
TL;DR: In this article, a two-tub approach was adopted to enable a separate optimization of both transistors and to utilize the dopant control available with implanted layers, a combination of n on n+epi and careful I/O layout rendered the circuits latch-up free.
Abstract: CMOS technology has been developed through several generations of design rules with an n-type substrate (where p-channel transistors were formed) and with a p-tub implanted and diffused region (where n-channel transistors were formed). In order to enable a separate optimization of both transistors and to utilize the dopant control available with implanted layers, a two-tub approach was adopted. Utilizing lightly doped epi on an n+substrate (for latch-up protection), nitride-masked self-aligned tubs, 1016cm-3surface doping and 600A gate oxides, an 8-mask CMOS process (named 'Twin-Tub") was formulated. The combination of n on n+epi and careful I/O layout renders the circuits latch-up free. Novel aspects of the process, the devices it produces and finally the resultant circuit performance are herein described.

Journal ArticleDOI
TL;DR: By illuminating a CdSe photoanode in an electrolyte in which it is photoelectrochemically unstable, a selective etching of the CdSE to a matte black surface occurs with formation of small pits (≈1000 A diameter) as mentioned in this paper.
Abstract: By illuminating a CdSe photoanode in an electrolyte in which it is photoelectrochemically unstable, a selective etching of the CdSe to a matte black surface occurs with formation of small pits (≈1000 A diameter). This photoelectrochemical etch was found to improve the output characteristics of the CdSe‐polysulfide photoelectrochemical cell through an increase in short‐circuit current (SCC) for single‐crystal CdSe, and an increase in fill factor and SCC for polycrystalline CdSe, where the improvement is more marked. This increase could be explained only partly through decreased reflectivity of the surface, and is probably connected with removal of (near) surface recombination centers.

Journal ArticleDOI
TL;DR: In this paper, the angular etch dependence of a directional reactive ion etch (RIE) at oblique angles has been investigated using a simple grid-covered structure (Faraday cage) in conventional parallel plate sputter etching equipment.
Abstract: Directional reactive ion etching (RIE) at oblique angles is possible using a simple grid‐covered structure (Faraday cage) in conventional parallel‐plate sputter etching equipment. Oblique‐angle etching, as is possible in any ion‐beam system, has been demonstrated using the etchant ions from CHF3 gas on a fused‐silica substrate. The first detailed measurements of the angular etch dependence of RIE show a strong similarity to those of an ion‐beam system (no chemical etching).

Patent
07 Apr 1980
TL;DR: In this article, isotropic etching of monocrystalline silicon (48) and doped or undoped polycrystalline (54) is achieved by utilizing a fluorine-containing gaseous compound in a plasma etching process.
Abstract: By utilizing a fluorine-containing gaseous compound in a plasma etching process, isotropic etching of monocrystalline silicon (48) and doped or undoped polycrystalline silicon (54) is achieved. The etching processes, which are applicable, for example, to pattern delineation in the processing of semiconductor wafers, are substantially free of any proximity effects and are characterized by a high etching rate at relatively low power levels, high selectivity (with respect to, for example, silicon dioxide) and excellent uniformity. By mixing other gases (for example, chlorine) with the fluorine-containing gas, the amount of undercutting achieved during the etching process can be selectively controlled.

Patent
18 Aug 1980
Abstract: Use of a dual composite mask for a lift-off multi-layered structure process in which a base component layer acts as an etch stop for reactive ion etching of overlying layers.

Journal ArticleDOI
TL;DR: In this paper, modifications of standard techniques are described for rapidly producing stained thin sections of high quality, including pre-polishing with wet 600-grit abrasive paper, using adequate etching times, and applying a K-rhodizonate solution of 0.02 g per 30 ml water, or weaker.
Abstract: Staining of thin sections for plagioclase and alkali feldspar has not become a universally applied petrographic tool, probably due to difficulties encountered in effectively staining plagioclase. Modifications of standard techniques are described for rapidly producing stained thin sections of high quality. Critical steps in the procedure include pre-polishing with wet 600-grit abrasive paper, using adequate etching times, and applying a K-rhodizonate solution of 0.02 g per 30 ml water, or weaker.

Journal ArticleDOI
TL;DR: Striking rate differences in gaseous plasma etching are found between undoped and Ag-photodoped Se-Ge inorganic photoresist as discussed by the authors, where the plasma etch rate almost disappears and the etch ratio reaches 370:1.
Abstract: Striking rate differences in gaseous plasma etching is found between undoped and Ag‐photodoped Se‐Ge inorganic photoresist. After the Ag photodoping, the plasma etch rate almost disappears and the etch rate ratio reaches 370:1. This leads to the ’’dry development’’ of the Se‐Ge inorganic resists. It is shown that by using the plasma etching technique, fine pattern delineation of less than 1‐μm linewidth is easily possible. Several advantages over wet chemical processing are expected in process simplification and reproducibility.

Journal ArticleDOI
TL;DR: Test patterns in the form of diffraction gratings are used for testing and monitoring linewidths on integrated circuit structures, and an-automatic setup for rapid testing of wafers is described.
Abstract: Test patterns in the form of diffraction gratings are used for testing and monitoring linewidths on integrated circuit structures. The first and second diffraction orders produed by a laser beam are evaluated to give the width of the grating lines. Measurements on chrome masks show that this technique is accurate to 5% down to linewidths of 0.5 μm. The design of a test set for factory type mask testing is presented. Also, experiments are reported on the testing of patterns on Si wafers directly after. photoresist development and after various etching steps, and an automatic setup for rapid testing of wafers is described.

Journal ArticleDOI
TL;DR: A technique for measuring the shear bond strength of composites to etched enamel was developed and one of three orthodontic adhesive systems showed a highly significant increase in bond strength after immersion in water for three months.
Abstract: A technique for measuring the shear bond strength of composites to etched enamel was developed. The importance of thorough washing of the enamel surface to remove deposits formed during etching was demonstrated by measurements of bond strength and by scanning electron microscopy. One of three orthodontic adhesive systems showed a highly significant increase in bond strength afterimmersion in water for three months. Etching times as short as five seconds gave a bond strength not significantly different from that obtained after a one-minute etch.

Journal ArticleDOI
TL;DR: In this paper, it was shown that the damage is caused by rebounded Ar atoms in the semiconductor near the metal-semiconductor junction, and that this voltage is high enough charged centers will be introduced.
Abstract: Sputtering a gold contact on n‐silicon or sputter‐etching the silicon surface prior to deposition of gold results in a Schottky barrier which shows a barrier height which depends on the sputtering voltage and time, and is lower than a corresponding barrier obtained by evaporation of a gold contact. On p‐silicon a sputtered gold contact also shows a barrier height influenced by the sputtering conditions. The modifications of the barrier height are caused by a thin positively charged layer formed in the semiconductor near the metal‐semiconductor junction. During sputter etching the silicon surface is subject to bombardment by Ar ions with energies of about the sputtering voltage. If this voltage is high enough charged centers will be introduced. These centers are also observed after sputter deposition at high voltage. We found that damage is caused by etching at 500V but not at 100V. This indicates that the damage found after sputter deposition was caused by rebounded Ar atoms.

Patent
13 Jun 1980
TL;DR: A silicon solar energy cell having improved anti-reflective properties and resistance to radiation is composed of a light receiving surface having spaced indentations in the form of inverted pyramids therein this article.
Abstract: A silicon solar energy cell having improved anti-reflective properties and resistance to radiation is composed of a light receiving surface having spaced indentations in the form of inverted pyramids therein. The pyramids, which have their bases in the plane of the light-receiving surface, are produced by masking the surface with a layer resistant to attack by a silicon etchant, forming open regions in the mask, then etching the major surface through the exposed regions.

Patent
12 Jun 1980
TL;DR: In this article, a self-aligned overlapped contact with oversized contact holes is proposed to avoid under-etching of the polysilicon during contact hole etching, which allows a substantial increase in the packing and integra-tion density of the so-produced circuits.
Abstract: OF THE DISCLOSURE Integrate MOS circuits with and without MNOS transistors in silicon-gate technology are produced with overlapped contacts using a silicon nitride mask. After production of structured SiO2 layer on a p- or n- doped semiconductor substrate to separate active transistor zones in accordance with the so-called LOCOS process, a silicon nitride layer is deposited onto the surface and is then structured so that the zones in which a gate oxide is to be produced, are uncovered and during gate oxidation, the surface of this structured silicon nitride layer is converted into an oxynitride layer. In contrast to previously known processes, the invention provides self-aligned overlapped contacts with oversized contact holes. The silicon-nitride layer functions as an etch-stop during etching of an intermediate oxide. This avoids under-etching of the polysilicon during contact hole etching. The overlapped contacts allow a substantial increase in the packing and integra-tion density of the so-produced circuits.

Patent
01 Jul 1980
TL;DR: In this article, the interference pattern from two intersecting laser beams was used to produce a plane grating in a layer of photoresist which was then used to coat a substrate.
Abstract: A method of producing near infrared polarizers is disclosed using holograc techniques. The interference pattern from two intersecting laser beams is used to produce a plane grating in a layer of photoresist which has been deposited on a layer of conductive material which in turn has been used to coat a substrate. Ion milling reproduces the plane grating in the conductive material layer by selectively etching away a uniform level of material.

Journal ArticleDOI
TL;DR: In this paper, metal wires of triangular cross section are produced by ion-etching a metal-coated substrate at an angle, so that the wire is formed in the shadow of the step.
Abstract: Metal lines as narrow as 30 nm and as long as 0.5 mm have been fabricated by new techniques based on substrates with surface‐relief steps. Substrate steps with a square profile are formed by ion‐beam etching. Metal wires of triangular cross section are produced by ion‐etching a metal‐coated substrate at an angle, so that the wire is formed in the shadow of the step. An alternative process, direct evaporation onto the step edge, is used to produce lines of very high aspect ratio of height to width.

Journal ArticleDOI
TL;DR: In this paper, a solar selective surface photothermal absorber consisting of thin-film Si with a submicron surface texture has been produced by reactive ion etching an evaporated Si film on a Mo layer.
Abstract: Solar selective surface photothermal absorbers consisting of thin‐film Si with a submicron surface texture have been produced by reactive ion etching an evaporated Si film on a Mo layer Such surfaces formed on 316 stainless steel and other substrates have solar absorptivities of 09 and calculated thermal emissivities of ∼02 at 200 °C These selective surfaces are stable in air to 500 °C

Patent
26 Jun 1980
TL;DR: In this article, a process and gas mixture for etching silicon dioxide and/or silicon nitride in a plasma environment in a planar reactor is described. But the process is carried out at relatively high pressure and power levels and provides substantially faster removal of silicon dioxide or silicon nitrides than has heretofore been possible in planar reactors.
Abstract: Process and gas mixture for etching silicon dioxide and/or silicon nitride in a plasma environment in a planar reactor. The gas mixture comprises a primary etching gas and a secondary gas which controls the selectivity of the etch. The process is carried out at relatively high pressure and power levels and provides substantially faster removal of silicon dioxide and/or silicon nitride than has heretofore been possible in planar reactors.