scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2004"


Patent
10 Sep 2004
TL;DR: In this article, a method for defining full phase layout for defining a layer of material in an integrated circuit is described, which can be used to define, arrange, and refine phase shifters to substantially define the layer using phase shifting.
Abstract: A method for defining a full phase layout for defining a layer of material in an integrated circuit is described. The method can be used to define, arrange, and refine phase shifters to substantially define the layer using phase shifting. Through the process, computer readable definitions of an alternating aperture, dark field phase shift mask and of a complimentary mask are generated. Masks can be made from the definitions and then used to fabricate a layer of material in an integrated circuit. The separations between phase shifters, or cuts, are designed for easy mask manufacturability while also maximizing the amount of each feature defined by the phase shifting mask. Cost functions are used to describe the relative quality of phase assignments and to select higher quality phase assignments and reduce phase conflicts.

140 citations


Journal Article
TL;DR: In this paper, phase-shifting masks and imaging characteristics are discussed and compared with those of conventional transmission masks and a halftone phase shifting mask is suggested for printing isolated patterns and it gives wider focus latitude than conventional mask technology.
Abstract: Phase-shifting masks and imaging characteristics are discussed and compared with those of conventional transmission masks. Then, new phase-shifting masks with intermediate values of phase shifting or transmittance are proposed, and their imaging characteristics are investigated. A phase-shifting mask with a 90° phase difference can ease the restrictions on pattern geometries used in phase-shifting technology but does not increase the focus latitude. It is also suggested that a halftone phase-shifting mask is suitable for printing isolated patterns and it gives wider focus latitude than conventional mask technology.

90 citations


Journal ArticleDOI
TL;DR: In this paper, the reproducibility and accuracy of repair of clear and opaque programmed defects on Cr binary and MoSi phase shift masks were evaluated using a high-resolution Supra scanning electron microscope platform.
Abstract: High-resolution electron-beam-assisted deposition and etching is an enabling technology for current and future generation photomask repair. NaWoTec in collaboration with Carl Zeiss NTS (formerly LEO Electron Microscopy) has developed a mask repair tool capable of processing a wide variety of mask types, such as quartz binary masks, phase shift masks, extreme ultraviolet masks, and e-beam projection stencil masks. Specifications currently meet the 65nm device node requirements, and tool performance is extendible to 45nm and below. The tool combines LEO’s ultra-high-resolution Supra scanning electron microscope platform with NaWoTec’s proprietary e-beam deposition and etching technology, gas delivery system, and mask repair software. In this article, we focus on tool performance results; that is, the reproducibility and accuracy of repair of clear and opaque programmed defects on Cr binary and MoSi phase shift masks. These masks have in the past been difficult to repair due to beam position instability caus...

80 citations


Journal Article
TL;DR: In this paper, a self-aligned phase shifter was proposed to reduce the width of photo-intensity to 60% of that without phase shifters, while keeping high contrasts.
Abstract: In order to improve remarkably the resolution of photolithography without improving the resolution of exposure systems, we propose a new simple and effective phase shifting mask technology. The new mask has self-aligned phase shifters to need no assistant patterns and no complicated designing the phase shifter patterns, which are essential to the conventional phase shifting mask. The new mask with phase shifter size of 0.5μm reduces the width of photo-intensity to 60% of that without phase shifters, while keeping high contrasts. We have succeeded in fabricating the new phase shifting mask and obtained 0.2pm line resist patterns with a high contrast resist profile even by a KrF excimer laser stepper with the resolution capability of 0.4μm. This new method is extremely attractive for enhancing optical lithography resolution in ULSI region.

48 citations


Patent
09 Apr 2004
TL;DR: In this paper, a photomask blank serving as a base member for producing a halftone-type phase shift mask in which a light transmissive substrate is formed thereon with a light-semitransmissive phase shift pattern having a desired opening, an etching mask film is made of an inorganic-based material having a resistance against dry etching of the chromium film.
Abstract: In a photomask blank serving as a base member for producing a halftone-type phase shift mask in which a light-transmissive substrate is formed thereon with a light-semitransmissive phase shift pattern having a desired opening, a light-semitransmissive phase shift film, a chromium film, and an etching mask film are stacked in order on the light-transmissive substrate. The etching mask film is made of an inorganic-based material having a resistance against dry etching of the chromium film. The photomask blank further may has a resist film formed on the etching mask film.

39 citations


Patent
18 Feb 2004
TL;DR: In this article, a positive tone photoresist is coated on a substrate and is first exposed with an alternating phase shift mask that has full size scattering bars which enable a gate dimension to be printed that is ¼ to ½ the size of the exposing wavelength.
Abstract: A process is described for shrinking gate lengths and poly interconnects simultaneously during the fabrication of an integrated circuit. A positive tone photoresist is coated on a substrate and is first exposed with an alternating phase shift mask that has full size scattering bars which enable a gate dimension to be printed that is ¼ to ½ the size of the exposing wavelength. The substrate is then exposed using a tritone attenuated phase shift mask with a chrome blocking area to protect the shrunken gates and attenuated areas with scattering bars for shrinking the interconnect lines. Scattering bars are not printed in the photoresist pattern. The process affords higher DOF, lower OPE, and less sensitivity to lens aberrations than conventional lithography methods. A data processing flow is provided which leads to a modified GDS layout for each of the two masks. A system for producing phase shifting layout data is also included.

35 citations


Patent
06 Sep 2004
TL;DR: In this paper, an attenuated phase shift mask blanks for use in lithography for an exposure wavelength of 300 nm or less, and a method of fabricating such masks by ion beam deposition was proposed.
Abstract: The present invention relates to embedded attenuated phase shift mask blanks for use in lithography for an exposure wavelength of 300 nm or less, and a method of fabricating such mask blanks by ion beam deposition. In particular, the mask blanks comprise a substrate and a thin film system wherein the thin film system comprises a transmission control sublayer comprising one or more metals or metal compounds selected from the group consisting of Mg, Y, La, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Fe, Co, Ni, Zn, Ge, Sn, Pb, oxides, nitrides, borides and carbides thereof, and combinations of metals and compounds thereof; and a phase shift control sublayer comprising borides, carbides, oxides and/or nitrides of Ge, Si and/or Al or combinations thereof.

32 citations


Patent
25 Oct 2004
TL;DR: In this paper, a phase shift mask blank consisting of at least one layer of light absorption function film and at least two layers of phase shift function film has been used to minimize the wavelength dependency of transmittance.
Abstract: In a phase shift mask blank comprising a phase shift multilayer film on a substrate, the phase shift multilayer film consists of at least one layer of light absorption function film and at least one layer of phase shift function film, and the light absorption function film has an extinction coefficient k which increases as the wavelength changes from 157 nm to 260 nm, and has a thickness of up to 15 nm. The phase shift mask blank has minimized wavelength dependency of transmittance and can be processed with a single dry etching gas.

24 citations


Journal Article
TL;DR: In this article, a systematic approach to assign aperture phases for the alternate-type phase-shift mask is proposed, where the relative difficulty can be input by the pattern designer and calculated by the system from the pattern features.
Abstract: A systematic approach to assigning aperture phases for the alternate-type phase-shift mask is proposed. Since the alternate-type phase-shift mask requires a 180° phase difference between adjacent apertures, there is the possibility that phase conflicts will arise, thus requiring pattern design modification. As a way to make pattern modification easier, this paper proposes a novel algorithm for successively assigning phases to apertures which are arranged in descending order of pattern design modification difficulty. Relative difficulty can be input by the pattern designer and/or calculated by the system from the pattern features. The system's successive shifter arrangement and phase conflict display is demonstrated on a test pattern.

23 citations


Patent
02 Jun 2004
TL;DR: In this paper, a phase shift mask is obtained by using an ultra-thin film (chromium nitride film) on a quartz substrate for forming phase shift pattern 1P and forming a resist film 3P thereon, and selectively etching the light-shielding film 4 by using a resist 5 to expose the phase shift patterns while leaving the light shielding portion 4A in a required position.
Abstract: PROBLEM TO BE SOLVED: To provide a method for manufacturing a phase shift mask that can contribute to a finer circuit pattern and higher accuracy SOLUTION: A phase shift mask 20 is obtained by: using a phase shift mask blank 10 as a raw material, prepared by forming an ultra-thin film (chromium nitride film) 2 on a quartz substrate 1 for forming a phase shift pattern 1P and forming a resist film 3 thereon; then forming a resist pattern 3P in the resist film 3; etching the ultra-thin film 2 by using the resist pattern as a mask to form an ultra-thin film pattern 2P; etching the quartz substrate 1 by using the ultra-thin film pattern 2P as a mask to form a phase shift pattern 1P; forming a light-shielding film 4 on the substrate 1 where the phase shift pattern 1P is formed and the resist pattern 3 is removed; and selectively etching the light-shielding film 4 by using a resist 5 to expose the phase shift pattern 1P while leaving the light-shielding portion 4A in a required position The film thickness of the ultra-thin film 2 is determined to be as thin as possible necessary to form the phase shift pattern in the quartz substrate 1 by using the ultra-thin film pattern 2P as a mask COPYRIGHT: (C)2006,JPO&NCIPI

23 citations


Patent
16 Mar 2004
TL;DR: In this article, an alternating phase shifted mask (altPSM) was designed by optimally selecting the width of phase shapes, which was achieved by providing a lithography metric that describes the relationship between phase shape width and the target image dimension such that the metric, such as process window or across chip linewidth variation (ACLV), is optimized.
Abstract: A method is described for designing an alternating phase shifted mask (altPSM) by optimally selecting the width of phase shapes. The selection of optimal phase shape widths is achieved by providing a lithography metric that describes the relationship between phase shape width and the target image dimension such that the metric, such as process window or across chip linewidth variation (ACLV), is optimized. In a preferred embodiment, ACLV is computed by Monte Carlo simulation by providing a set of error distributions for lithographic parameters such as focus, dose, lens aberrations, and the like. Alternatively, a lookup table of optimal phase widths associated with target image dimensions may be provided. The resulting altPSM is characterized by phase shapes having widths that vary according to the widths of the target image dimensions.

Patent
04 Nov 2004
TL;DR: In this paper, the authors proposed a mask pattern composed of the phase shifter R1 and the semitransparent part R3 on a transmitting substrate 100, where the phase shift R1 passes the exposure light while inverting the phase with respect to the opening R2 as the reference.
Abstract: PROBLEM TO BE SOLVED: To decrease MEF (mask error factor) while keeping the interference effect between a light passing a phase shifter and a light passing an opening in a halftone phase shift mask. SOLUTION: The photomask has a phase shifter R1 corresponding to the region of a resist not to be exposed, a transparent part (opening) R2 corresponding to the region of the resist to be exposed, and a semitransparent part R3 interposed between the phase shifter R1 and the opening R2, on a transmitting substrate 100. The mask pattern is composed of the phase shifter R1 and the semitransparent part R3. The phase shifter R1 passes the exposure light while inverting the phase with respect to the opening R2 as the reference, while the semitransparent part R3 has the transmittance which enables partial transmission of the exposure light and transmits the exposure light in the same phase with respect to the opening R2 as the reference. COPYRIGHT: (C)2005,JPO&NCIPI

Patent
16 Nov 2004
TL;DR: An exposure process monitoring method capable of performing quantitative monitoring of an exposure amount and a focusing position which are major process parameters during exposure using a Levinson phase shift mask in semiconductor lithography processes is disclosed in this article.
Abstract: An exposure process monitoring method capable of performing quantitative monitoring of an exposure amount and a focusing position which are major process parameters during exposure using a Levinson phase shift mask in semiconductor lithography processes is disclosed. During exposure using the Levinson phase shift mask, the focus position is influenceable by optical intensity distribution characteristics so that it can vary from its minus (−) to plus (+) directions by in a way depending upon the pitch width and line width of a line-and-space pattern. In such case, there exist a pattern in which the cross-sectional shape of a resist changes from a forward taper to reverse taper and a pattern in which the sectional shape changes from the reverse to forward taper.

Proceedings ArticleDOI
28 May 2004
TL;DR: In this article, a more accurate model was proposed that consists of a fixed-width, locally-determined boundary layer of imaginary transmission coefficient added to every edge of the initial "thin mask" approximation.
Abstract: Sub-wavelength lithography places a serious limitation on the conventional "thin mask" approximation of the field immediately behind the patterned mask. This approximation fails to account for the increasingly important topographical effects of the mask or "thick mask" effects. This approximation of the photomask near-fields results from the direct application of Kirchhoff Boundary Conditions, which multiply the incident field by a binary transmission function of the patterned mask. Polarization dependent edge diffraction effects, as well as phase and amplitude transmission errors that arise from the vector nature of light, and the finite thickness of the substrate and chrome layers, produce significant errors in the scalar simulations of the lithographic image. Based on the comparison of aerial images at the wafer plane produced by both rigorous electromagnetic solutions of the field on the mask and their "thin mask" counterparts, a more accurate model is proposed that consists of a fixed-width, locally-determined boundary layer of imaginary transmission coefficient added to every edge of the initial "thin mask" approximation. The accuracy of the resultant Boundary Layer model has been exhaustively tested against rigorously simulated aerial images of isolated as well as periodic features of very different profiles and dimensions. The conclusion being that this simple approach is capable of modeling "thick mask" effects at both 248nm and 193nm wavelength and high NA lithography. This greatly improves the accuracy of aerial image computation in photolithography simulations at a reasonable computational cost.

Patent
22 Jul 2004
TL;DR: In this article, a reflector for EUV has additional multi-layers on the front surface of a base multilayer stack provided selectively to compensate for figure errors in the base multi-layer stack or the substrate on which the multillayer stack is provided.
Abstract: A reflector for EUV has additional multi-layers on the front surface of a base multilayer stack provided selectively to compensate for figure errors in the base multilayer stack or the substrate on which the multilayer stack is provided. A reflective mask for EUV uses two multilayer stacks, one introducing a relative phase shift and/or altered reflectivity with respect to the other one.

Journal Article
TL;DR: In this article, a combination of modified illumination and phase shift mask technologies have been developed in order to improve the depth of focus and resolution limit of a photolithography system, and experiments using the modified illumination were carried out and subhalf-micron patterns were produced.
Abstract: Various methods have been developed to overcome the limitations in photolithography. Modified illumination and phase shift mask technologies have been developed in order to improve the depth of focus and resolution limit.We have combined these two methods and applied them to the step and repeat exposure system. Experiments using the modified illumination were carried out and subhalf-micron patterns were produced. The process latitude of 64M dynamic random access memory (DRAM) is doubled by this combination process.

Patent
31 Mar 2004
TL;DR: The phase shift mask blank as mentioned in this paper is a multilayer mask blank which has a film mainly having a light absorbing function on a substrate and has one or more layers of films having a phase shift function thereon.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask blank which can be easily processed to obtain transmittance, reflectance and a phase with higher accuracy, and to provide a phase shift mask using the blank and a method for transferring a pattern. SOLUTION: The phase shift mask blank is a multilayer phase shift mask blank which has a film mainly having a light absorbing function on a substrate and has one or more layers of films mainly having a phase shift function thereon. The film having the light absorbing function contains a group 4A metal, with the metal content higher in the upper part of the film than in the lower part. When the phase shift mask blank is processed into a phase shift mask, the light absorbing film is easily detected during dry etching in a drying etching process and selectivity against a substrate is advantageously obtained, which results in high-accuracy processing. Thus, a mask having the transmittance and a phase shift with higher accuracy can be obtained, and thereby, a larger focal depth can be kept in photolithography using the mask. COPYRIGHT: (C)2006,JPO&NCIPI

Patent
29 Oct 2004
TL;DR: In this article, a correction for photolithography masks used in semiconductor and micro electromechanical systems is described based on process windows, which evaluates a segment of an idealized photolithographic mask at a plurality of different possible process variable values to estimate a corresponding plurality of photoresist edge positions, and compares the estimated edge positions to a minimum critical dimension.
Abstract: A correction for photolithography masks used in semiconductor and micro electromechanical systems is described. The correction is based on process windows. In one example, the invention includes evaluating a segment of an idealized photolithography mask at a plurality of different possible process variable values to estimate a corresponding plurality of different photoresist edge positions, comparing the estimated edge positions to a minimum critical dimension, and moving the segment on the idealized photolithography mask if the estimated edge positions do not satisfy the minimum critical dimension.

Patent
25 Oct 2004
TL;DR: In this paper, a trenched phase shift mask having portions of a light-blocking layer thereon is formed, and a layer of anti-reflective material is then formed on the trenched mask and the portions of the light blocking layer.
Abstract: A method for fabricating a phase shift mask is provided. A trenched phase shift mask having portions of a light-blocking layer thereon is formed. A layer of anti-reflective material is then formed on the trenched phase shift mask and the portions of the light-blocking layer. The anti-reflective material is then removed on horizontal surfaces of the trenched phase shift mask and of the portions of the light-blocking layer.

Patent
28 Jun 2004
TL;DR: In this article, the phase shift mask has a refractive index varied portion in a transparent substrate, which generates 180° phase difference between transmission light passing through in the refractive indices varied portion and transmission light passed through the transparent substrate.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask having a simple structure which requires neither recessed part on a substrate surface nor multilayer film structure and manufacturing steps of which are not increased, in the phase shift mask which inverts a phase difference between transmission light passing through a recessed part formed on a substrate surface and transmission light adjacent to it, and to provide a method for manufacturing the phase shift mask and a method for transferring a pattern. SOLUTION: The phase shift mask has a refractive index varied portion in a transparent substrate, which generates 180° phase difference between transmission light passing through in the refractive index varied portion and transmission light passing through the transparent substrate. By the method for manufacturing a phase shift mask, the refractive index varied portion in the transparent substrate is formed by converging laser light into the position in the transparent substrate to induce laser ablation to produce a high-density portion or a hole. COPYRIGHT: (C)2006,JPO&NCIPI

Patent
23 Mar 2004
TL;DR: In this article, a method for phase shift mask blanking is described, which includes at least a step of forming one or more layers of phase shift films on a substrate by a sputtering method.
Abstract: There is disclosed a method of producing a phase shift mask blank wherein the method includes at least a step of forming one or more layers of phase shift films on a substrate by a sputtering method, and in the step, the phase shift films are formed by the sputtering method while simultaneously discharging plural targets having different compositions. Thereby, a phase shift mask blank having a desired composition and quality, in particular, having a phase shift film with few defects can be easily produced.

Proceedings ArticleDOI
28 May 2004
TL;DR: In this paper, the focus and exposure budget have been determined from the actual data and the realistic estimation such as the focus distributions across a wafer measured by the phase shift focus monitor (PSFM).
Abstract: In order to clarify the direction of the lithography for the 45 nm node, the feasibilities of various lithographic techniques for gate, metal, and contact layers are studied by using experimental data and aerial image simulations. The focus and exposure budget have been determined from the actual data and the realistic estimation such as the focus distributions across a wafer measured by the phase shift focus monitor (PSFM), the focus and exposure reproducibility of the latest exposure tools, and the anticipated 45 nm device topography, etc. 193 nm lithography with a numerical aperture (NA) of 0.93 achieves the half pitch of 70 nm (hp70) by using an attenuated phase shift mask (att-PSM) and annular illumination. 193 nm immersion lithography has the possibility to achieve the hp60 without an alternative PSM (alt-PSM). For a gate layer, 50-nm/130-nm line-and-space (L/S) patterns as well as 50 nm isolated lines can be fabricated by an alt-PSM. Although specific aberrations degrade the critical dimension (CD) variation of an alt-PSM, ±2.6 nm CD uniformity (CDU) is demonstrated by choosing the well-controlled projection lens and using a high flatness wafer. For a contact layers, printing 90 nm contacts is very critical by optical lithography even if the aggressive resolution enhancement technique (RET) is used. Especially for dense contact, the mask error factor (MEF) increases to around 10 and practical process margin is not available at all. On the other hand, low-energy electron-beam proximity-projection lithography (LEEPL) can fabricate 80 nm contact with large process margin. As a lithography tool for the contact layers of the 45 nm node devices, LEEPL is expected to replace 193 nm lithography.

Patent
Shahid Butt1, Scott J. Bukofsky1, Ramachandra Divakaruni1, Carl J. Radens1, Wayne F. Ellis1 
14 May 2004
TL;DR: In this article, an active area pattern is formed atop a deep trench pattern with a single exposure using an alternative phase-shift mask to prevent adjacent spaces of opposite phases from intersecting one another at the ends of substantially opaque features of the active area patterns.
Abstract: An active area pattern is formed atop a deep trench pattern with a single exposure using an alternative phase-shift mask. To prevent adjacent spaces of opposite phase from intersecting one another at the ends of substantially opaque features of the active area pattern, one or more connectors are used to connect the ends of the substantially opaque patterns. Trench regions of the deep trench pattern are arranged such that the conduction path of the connectors are interrupted and prevent the lines from shorting to one another. Alternatively, a bit line pattern or a word line pattern having a lines and spaces array and a support region are printed with a single exposure using an alternating phase-shift mask. At one end of the array region, lines having a respective phase shift extend into the support region, and lines of the opposite phase shift are terminated. At the opposite end of the array, the lines that have the opposite phase shift extend into the support region, and the lines of having the respective phase shift are terminated.


01 Jan 2004
TL;DR: In this paper, the effect of mask topography and mask quality on the performance of CPL was investigated using a 3D mask electro-magnetic field simulation (Sigma-C Solid-CM), and the results showed that the observed pitch-dependent tilt in the Bossung curves is mainly related to the 3D character of the mask.
Abstract: Different types of phase-shift masks (PSM) in combination with the proper illumination condition are widely used to allow 193nm lithography to print ever-decreasing pitches with a sufficient process window. A viable option for the 65nm node is Chromeless Phase Lithography (CPL), which combines a chromeless phase shift mask and 193nm off-axis illumination. It has been demonstrated that CPL has a high flexibility for through pitch imaging. Also concerning mask making CPL masks showed advantages over alternating and attenuated PSM [1]. This paper discusses how the mask quality and its topography influence the imaging performance of CPL. It is shown that mask topography is an important factor for CPL, as the imaging relies also on the quartz depth differences in the mask. The wafer image is sensitive to phase variations induced by the quartz etch depth and the sidewall profile. Their impact is separately studied using rigorous 3D mask electro-magnetic field simulations (Sigma-C Solid-CM). Correlation of experimental results to simulation explains that the observed pitch-dependent tilt in the Bossung curves is mainly related to the 3D character of the mask. In search for a global compensation valid through pitch, the simulation study also evaluates the effect of other contributors such as lens aberrations in the optical system, assist features and half-toning Cr zebra lines in the design. However, as the tilt is inherent to the CPL mask fabrication, a compensation of the Bossung tilt effect can only be obtained for specific combinations of all sources, as will be shown. We concentrate on the imaging of 70nm lines and 100nm contact holes in pitches ranging from dense up to isolated. The wafers are exposed on an ASML PAS5500/1100 ArF scanner working with a 0.75NA projection lens and various types of off-axis illumination. The wafers are evaluated on a top-down CD SEM (KLA-Tencor 8250XR).

Patent
10 Sep 2004
TL;DR: In this article, double exposure is conducted using a halftone phase shift mask (11) comprising gate patterns, an assist pattern (2a) having a resoluble line width inserted into a part where the distance between the gate patterns (1) is long, and an assist mask (2b) having an line width not larger than a resolution limit, and a Levenson phase shift masks (12) comprising a shift pattern corresponding to the gate pattern of the photomask.
Abstract: Double exposure is conducted using a halftone phase shift mask (11) comprising gate patterns (1), an assist pattern (2a) having a resoluble line width inserted into a part where the distance between the gate patterns (1) is long, and an assist pattern (2b) having a line width not larger than a resolution limit, and a Levenson phase shift mask (12) comprising a shift pattern (3) corresponding to the gate pattern (1) of the photomask (11). In this regard, the assist patterns (2a, 2b) are erased and only the gate patterns (1) are transferred. When a pattern is transferred by double exposure, common depth of focus of the pattern is enhanced and a highly uniform line width is realized resulting in a highly reliable semiconductor device.

Proceedings ArticleDOI
06 Dec 2004
TL;DR: In this paper, the effect of mask topography and mask quality on the performance of CPL has been investigated using 3D mask electro-magnetic field simulations (Sigma-C Solid-CM), and the results showed that the observed pitch-dependent tilt in the Bossung curves is mainly related to the 3D character of the mask.
Abstract: Different types of phase-shift masks (PSM) in combination with the proper illumination condition are widely used to allow 193nm lithography to print ever-decreasing pitches with a sufficient process window. A viable option for the 65nm node is Chromeless Phase Lithography (CPL), which combines a chromeless phase shift mask and 193nm off-axis illumination. It has been demonstrated that CPL has a high flexibility for through pitch imaging. Also concerning mask making CPL masks showed advantages over alternating and attenuated PSM [1]. This paper discusses how the mask quality and its topography influence the imaging performance of CPL. It is shown that mask topography is an important factor for CPL, as the imaging relies also on the quartz depth differences in the mask. The wafer image is sensitive to phase variations induced by the quartz etch depth and the sidewall profile. Their impact is separately studied using rigorous 3D mask electro-magnetic field simulations (Sigma-C Solid-CM). Correlation of experimental results to simulation explains that the observed pitch-dependent tilt in the Bossung curves is mainly related to the 3D character of the mask. In search for a global compensation valid through pitch, the simulation study also evaluates the effect of other contributors such as lens aberrations in the optical system, assist features and half-toning Cr zebra lines in the design. However, as the tilt is inherent to the CPL mask fabrication, a compensation of the Bossung tilt effect can only be obtained for specific combinations of all sources, as will be shown. We concentrate on the imaging of 70nm lines and 100nm contact holes in pitches ranging from dense up to isolated. The wafers are exposed on an ASML PAS5500/1100 ArF scanner working with a 0.75NA projection lens and various types of off-axis illumination. The wafers are evaluated on a top-down CD SEM (KLA-Tencor 8250XR).

Patent
04 Jun 2004
TL;DR: In this paper, a phase shift mask is structured in such a way that the mask has a function to intentionally enhance the intensity of light in a dark portion which gives an unwanted exposure image caused by a phase-shift effect.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask which does not produce unwanted exposure images caused by a phase shift effect, and to provide a method for manufacturing the mask. SOLUTION: The phase shift mask is structured, in such a manner that the phase shift mask has a function to intentionally enhance the intensity of light in a dark portion which gives an unwanted exposure image caused by a phase shift effect. That is, the height of the pattern on the mask producing an unwanted phase shift effect is varied corresponding to 360° phase, and an out-of-focus state is generated on a wafer so as to attain increase in the intensity of light in the area originally intended to be dark. COPYRIGHT: (C)2006,JPO&NCIPI

Patent
13 Sep 2004
TL;DR: In this article, a halftone phase shift mask is produced by forming a MoSi-based halftonescale phase shift film having a film thickness giving a phase difference of ≤135° on a quartz glass substrate and engraving the substrate with high perpendicular property and inplane uniformity.
Abstract: PROBLEM TO BE SOLVED: To provide a halftone phase shift mask having the high transmittance of over 6% (9 to 15%), suppressing side etching in a halftone film portion, and having the smooth horizontal plane of a quartz surface, and to provide a method for manufacturing the mask. SOLUTION: The halftone phase shift mask is produced by forming a MoSi-based halftone phase shift film having a film thickness giving a phase difference of ≤135° on a quartz glass substrate and engraving the quartz glass substrate with high perpendicular property and in-plane uniformity by dry etching by magnetic neutral line discharge plasma. The method for manufacturing a halftone phase shift mask includes steps of forming the MoSi halftone phase shift film having a film thickness giving the phase difference of ≤135° on the quartz glass substrate by reactive sputtering and etching the quartz glass substrate using a chromium film as a mask by dry etching by magnetic neutral line discharge plasma with addition of a gas having an effect of protecting a side wall to the process gas. COPYRIGHT: (C)2006,JPO&NCIPI

Patent
28 Dec 2004
TL;DR: A phase shift mask as discussed by the authors consists of a transparent substrate and a light shielding film, which is formed on the transparent substrate with a plurality of film thicknesses and has an edge that does not hang over the recessed portion.
Abstract: A phase shift mask comprises a transparent substrate and a light shielding film. The transparent substrate has two regions that transmit exposure light. The exposure light transmitted through one region having a phase that is inverted in a recessed portion formed in the other region. The light shielding film shields the exposure light. The light shielding film is formed on the transparent substrate with a plurality of film thicknesses and has an edge that does not hang over the recessed portion.